GreaterEqual

Output y is true, if input u1 is greater or equal than input u2

    GreaterEqual

Library

Modelica/Blocks/Logical

Description

The output is true if Real input u1 is greater than or equal toReal input u2, otherwise the output is false.

Ports

NameTypeDescriptionIO TypeNumber

u1

implicit

Connector of first Real input signal

input

1

u2

implicit

Connector of second Real input signal

input

2

y

implicit

Connector of Boolean output signal

output

1