SquareWaveGenerator

This block generates a square wave.

    SquareWaveGenerator

Library

Activate/SignalGenerators

Description

This block is a square wave generator. The output switches periodically between a first value and second value.

The third parameter of this block defines the period of the signal. The Duty Cycle (fourth parameter) is defined as a percentage of the period.

Parameters

SquareWaveGenerator_0

NameLabelDescriptionData TypeValid Values

FV

First value

Matrix

SV

Second value

Matrix

period

Period

Scalar

dutyCycle

Duty cycle

Scalar

offset

Offset

Scalar

datatype

Output datatype

String

'double'
'complex'
'int32'
'int16'
'int8'
'uint32'
'uint16'
'uint8'
'boolean'
'inherit'

Ports

NameTypeDescriptionIO TypeNumber

Port 1

explicit

output

1

Advanced Properties

NameValueDescription

always active

no

direct-feedthrough

no

zero-crossing

no

mode

no

continuous-time state

no

discrete-time state

no