This manual describes the features and usage of the StarVision PRO GUI.

Overview

StarVision PRO provides fast visualization of mixed mode designs described in a mixture of RTL (Verilog and VHDL) files, structural netlists (Verilog and EDIF) and Spice netlists.

StarVision PRO GUI

Tooltips

If you place the mouse over an object, then after a small delay a tooltip label pops up. This label gives you additional context sensitive information or hints about the object that is displayed under the mouse cursor. In the Preferences dialog you can toggle the display of tooltips and the display of attributes in the tooltips.

Drag & Drop

The tool supports Drag & Drop with either the left or right mouse button. The left mouse button is the default for Drag & Drop, but this can be configured in the Preferences dialog or using the command line option -dndButton.

You can start dragging one or more objects depending on how many objects are currently selected or where you start dragging from. While dragging is active, the mouse cursor changes. The "forbidden" cursor indicates an invalid drop zone; you may not drop objects here. The "dropped" objects will temporarily be highlighted with the Goto color (similar to the Goto function).

Dropping Objects to Inactive Tabs

If you want to drop objects on one of the Tab group’s tab that is currently not visible (not active) you can do the following: Drag the object(s) on one of Tab group’s tab name (the cursor changes) and hold this position for about half a second. The tab will be activated and you may drop the object(s) into the window, if possible. You can also drop directly to the tab name.

Highlight

The tool supports different global highlight lists, each represented by a different color. Any object of the design loaded in StarVision PRO can be highlighted. Highlighting is global, i.e. all Pane windows and their tabs like Schem, Cone, Source, Mem and Search realize a change in the highlight list.

To highlight the selected database object(s), use the context menu entry "Highlight" or press Ctrl+H. The selected object(s) will be highlighted in the current highlight color.

To unhighlight the selected database object(s), use the context menu entry "Unhighlight" or press Ctrl+Shift+H.

The main menu’s Highlight entries are:

  • The Highlight  Current  0 to Highlight  Current  15 sub-menu entries let the user choose the current highlighting color from a selection 16 highlight colors.

  • Enabling Highlight  Auto Increment causes StarVision PRO to increment/change the current highlighting color after each highlight command.

  • The Highlight  Unhighlight  0 to Highlight  Unhighlight  15 sub-menu entries remove the highlight information from all database objects of a certain color.

  • Highlight  Unhighlight All removes the highlight information from all database objects.

Goto

If you invoke the "Goto" function using the context menu on the selected object in a Pane window, then the Pane window’s sub windows Schem, Cone, Source, Mem and Search will also show the selected object. To indicate the object it is colored with the "goto color". This coloring will disappear after the next mouse click (the Drag & Drop function works similar and also uses the "goto color").

Object Identification

Schematic Objects

Individual "Objects" can be selected by mouse or highlighted or addressed through the Database API (for more information, please check out the Object Identification (OID) API and this UML diagram). All the GUI’s communication features like Drag & Drop, the Goto function, all kind of selection and highlighting, and especially the Memory and Search windows internally base on these Object IDs.

The image above shows an Instance of a Module, one Primitive Instance, two Device Instances, an input and an output Port, an input PortBus, Pins and a PinBus and some Nets and a NetBus.

The basic Object types are:

  • Instance - the instance of a Module or a Primitive.

  • Pin - an instance pin (refers to a Module/Primitive Port).

  • PinBus - an instance bus-pin (refers to a Module/Primitive PortBus).

  • Port - a Module/Primitive interface port.

  • PortBus - a Module/Primitive interface bus-port.

  • Net - a single-bit net connecting pins (and eventually a port).

  • NetBus - an array of nets.

  • Primitive - defines the Cell’s primitive function and the interface (not selectable in Schem or Cone windows).

  • Module - defines the interface and contents of a hierarchical block (not selectable in Schem or Cone windows).

Save Settings

There are two types of settings that can be saved:

  1. GUI related user preferences can be saved as a workspace.

  2. Design related settings can be saved as a project.

Workspace

The Workspace includes all user preferences (including color schemes).

Workspaces are managed via the File  Workspace menu.

You can start StarVision PRO with a certain workspace pre-loaded with the command line option -workspace. Workspaces are plain text files with the default extension .ws.

Project

A project file includes all design related settings used to load the input files.

Projects are managed via the File  Project menu or in the Open Input Files dialog.

You can start StarVision PRO with a certain project pre-loaded with the command line option -project. Projects are plain text files with the default extension .vpj.

Display Documentation

The Help function starts the "native" browser of the system to display the tool documentation. In the Preferences dialog you can change the command to invoke a browser.

The tool’s main menu looks like the following image:

The Main Menu

You can activate the menu by mouse or by keyboard (if you press Alt and the underlined letter, e.g. Alt+V followed by P will show the Preferences dialog window from the View menu).

The GUI API allows the user to extend the main menu.

The following table shows the entries from the main menu:

Menu Item Description

File

Open the File menu.

View

Open the View menu.

Tools

Open the Tools menu.

Window

Open the Window menu.

Highlight

Open the Highlight menu.

Help

Open the Help menu.

The File Menu
Menu Item Description Keyboard

Workspace

Start sub-menu to read/write workspace settings from/to file.

Project

Start sub-menu to read/write project settings from/to file.

Save ZDB Binfile

Save the current design as a precompiled binary database file (ZDB Binfile).

Save Spice

Update the Spice netlist file(s) - this entry is only enabled after the user has changed attributes.

Open

Open the Open sub-menu.

Open Recent

Open recent files.

Print

Open the Print dialog window.

Ctrl+P

Export Skill

Open the Export Skill dialog window.

Save Schematic as Image

Open the Save Schematic as Image dialog window.

Load Userware

Load and execute a Tcl/Tk Userware script.

Load Recent Userware

Reload a previously opened Tcl/Tk Userware script.

Close Design Database

Close the opened design database.

Close Waveform Database

Close the opened waveform database.

Quit

Close StarVision PRO. Before closing the application, a window will pop up to ask for confirmation. This can be omitted with a settings variable. [showExitPrompt]

Ctrl+Q

The File/Workspace Menu
Menu Item Description Keyboard

Open

Load a workspace by choosing the file in a dialog.

Save As

Save current settings to a workspace file.

Reset to Built-in

Reset all global settings to the built-in defaults.

Recent

List of recent workspace files.

The File/Project Menu
Menu Item Description Keyboard

Open

Load a Project by choosing the file in a dialog.

Save As

Save current design related settings to a Project file.

New

Create a new Project.

The File/Open Menu
Menu Item Description Keyboard

Read RTL

Open the Read RTL dialog window.

Read Verilog Netlist

Open the Read Verilog Netlist dialog window.

Read EDIF

Open the Read EDIF dialog window.

Waveform Database

Open a Waveform database.

Read Spice

Open the Read Spice dialog window.

ZDB Binfile

Open a precompiled binary database file (ZDB Binfile).

ZDB Binfile (Quick Mode)

Open a precompiled binary database file (ZDB Binfile) in Quick Mode.

Attach SDF

Open the Attach SDF dialog window.

Attach Parasitic

Open the Attach Parasitic dialog window.

Settings File

Open a parser settings file.

The View Menu
Menu Item Description Keyboard

Preferences

Open the Preferences dialog window.

Select Attributes

Open the Select Display Attributes dialog window.

The Tools Menu
Menu Item Description Keyboard

Cone Extraction

Show the Cone Extraction dialog.

Clock Domain Analyzer

Run automatic Clock Domain Extraction and Cross Domain Check.

Configure Clocked Cells

Manually configure Clocked Cells.

Report Instance Count

Count and display the number of module/primitive instances.

Save Cone as Spice

Save the contents of the Cone window as Spice.

Save Cone as Verilog

Save the contents of the Cone window As Verilog.

Export Netlist

Export the loaded design either as a Spice or Verilog netlist.

ERC

Open the ERC sub-menu.

Bulk

Open the Bulk sub-menu.

Plugins

Show the Plugins dialog window.

Blocklevel View

Open the Blocklevel View sub-menu.

The Tools/ERC Menu
Menu Item Description Keyboard

Find Floating Nodes

Search for floating nodes.

Find Heavy Nodes

Search for heavily connected nodes.

Wrong Bulk Connections

Search for wrong bulk connections.

Heavy C/R

Search for heavy capacitors and resistors.

Find Coupling Cs

Search for coupling capacitors.

Open Gates

Search for unconnected gate pins at all MOS transistors.

Find Multi Drivers

Search for signals with multiple drivers.

Find Zero Drivers

Search for signals without any driver.

The Tools/Bulk Menu
Menu Item Description Keyboard

Show Wrong Bulks

Show only bulk and substrate pins that don’t connect to their corresponding power supply. This is the default behavior.

Show Bulks not connected to PG

Show only bulk and substrate pins that don’t connect to any supply pin.

Show All Bulks

Show all bulk and substrate pins.

Show No Bulks

Never show any bulk and substrate pins.

The Tools/Blocklevel View Menu
Menu Item Description Keyboard

Enable

Toggle the Blocklevel View mode.

Neighborhood View

Show the selected instance’s neighbors in a neighborhood view.

Show Legend

Display a legend explaining neighborhood colors.

Reset Highlighting

Reset the neighborhood highlighting.

Toggle Clouds

Merge logic gates into 'logic clouds' and back.

The Window Menu
Menu Item Description Keyboard

Full Screen

Toggle fullscreen mode of the main window.

F11

Toolbar

Toggle the display of the Toolbar.

Search

Toggle the display of the Search window.

Infobox

Toggle the display of the Infobox window.

Console

Toggle the display of the Console window.

Messages

Toggle the display of the Messages window.

Statusbar

Toggle the display of the Statusbar.

Connectivity Browser

Toggle the display of the Connectivity Browser.

Waveform

Toggle the display of the Waveform window.

Analog Waveform

Toggle the display of the Analog Wave window.

Parasitic

Toggle the display of the Parasitic window.

Assertion

Toggle the display of the Assertion window.

New Waveform Viewer

Open a new toplevel Waveform window.

New Analog Waveform Viewer

Open a new toplevel Analog Wave window.

New Parasitic Window

Open a new toplevel Parasitic window.

New Assertion Window

Open a new toplevel Assertion window.

New Default Pane

Create a new Pane window with a set of default tabs.

Ctrl+Shift+V

New Default Pane (No Parasitics)

Create a new Pane with a parasitic filter attached - that makes the Pane’s Schem and Cone windows hiding the parasitics (will provide a much better readable functional schematic). You can configure the filter through the Preferences dialog.

New Schem

Create a new toplevel Schematic window.

New Cone

Create a new toplevel Cone window.

New Source

Create a new toplevel Source window.

New Windows Stay on Top

If activated, new Pane windows will stay on top of the main GUI. Technically spoken: "on" means "transient to" the main window and "off" means "independent from" the main window.

The Highlight Menu
Menu Item Description Keyboard

Current

Choose the current highlighting color.

Auto Increment

If activated, the current highlighting color is 'incremented' after each highlighting command.

Ctrl+X

Unhighlight

Remove the highlight information from all objects of a certain color.

Unhighlight All

Remove the highlight information from all objects.

Ctrl+Shift+U

The Highlight/Current Menu
Menu Item Description Keyboard

0

Activate the highlight color associated with the number 0.

Ctrl+0

1

Activate the highlight color associated with the number 1.

Ctrl+1

2

Activate the highlight color associated with the number 2.

Ctrl+2

3

Activate the highlight color associated with the number 3.

Ctrl+3

4

Activate the highlight color associated with the number 4.

Ctrl+4

5

Activate the highlight color associated with the number 5.

Ctrl+5

6

Activate the highlight color associated with the number 6.

Ctrl+6

7

Activate the highlight color associated with the number 7.

Ctrl+7

8

Activate the highlight color associated with the number 8.

Ctrl+8

9

Activate the highlight color associated with the number 9.

Ctrl+9

10

Activate the highlight color associated with the number 10.

11

Activate the highlight color associated with the number 11.

12

Activate the highlight color associated with the number 12.

13

Activate the highlight color associated with the number 13.

14

Activate the highlight color associated with the number 14.

15

Activate the highlight color associated with the number 15.

The Highlight/Unhighlight Menu
Menu Item Description Keyboard

0

Unhighlight all highlights for the highlight color associated with the number 0.

1

Unhighlight all highlights for the highlight color associated with the number 1.

2

Unhighlight all highlights for the highlight color associated with the number 2.

3

Unhighlight all highlights for the highlight color associated with the number 3.

4

Unhighlight all highlights for the highlight color associated with the number 4.

5

Unhighlight all highlights for the highlight color associated with the number 5.

6

Unhighlight all highlights for the highlight color associated with the number 6.

7

Unhighlight all highlights for the highlight color associated with the number 7.

8

Unhighlight all highlights for the highlight color associated with the number 8.

9

Unhighlight all highlights for the highlight color associated with the number 9.

10

Unhighlight all highlights for the highlight color associated with the number 10.

11

Unhighlight all highlights for the highlight color associated with the number 11.

12

Unhighlight all highlights for the highlight color associated with the number 12.

13

Unhighlight all highlights for the highlight color associated with the number 13.

14

Unhighlight all highlights for the highlight color associated with the number 14.

15

Unhighlight all highlights for the highlight color associated with the number 15.

The Help Menu
Menu Item Description Keyboard

Index

Open the start page of the documentation.

F1

Quick Start

Open StarVision PRO’s Quick Start Guide.

Installation Notes

Show the Installation Notes.

Changelog

Display the list of changes in StarVision PRO.

Spice Tutorial

Open the Spice Tutorial.

GUI Reference Manual

Open the GUI Reference Manual.

Glossary

Open the Glossary, explaining several terms (abbreviations/phrases/ words) used within StarVision PRO’s GUI and its documentation.

API Doc

Open the API Documentation.

Check for Update

Check if a new version of StarVision PRO is available for download.

About

Open the About dialog: display version numbers and license details.

The Context Menus

If you click on certain objects with the right mouse button, a context menu will pop up.

Most of the menu entries apply to the selected object(s).

Multiple selection with Ctrl and left mouse button is possible before pressing the right mouse button.

The upper part of the menu (above the separator line) stays the same for all windows whereas the lower part is different in each window (Schem, Cone, Source, Mem, etc.). Depending on the selected object(s) some of the functions are grayed out.

One of the context menu entries can be in bold font indicating the default action; he default action is performed if you double-click on the object with the left mouse button.

Note

If Drag & Drop is configured to the right mouse button it can also start Drag & Drop for the selected objects: press-move-release means Drag & Drop, while press-release means Popup context menu.

The picture shows the context menu of the Schem window.

Schem Context Menu

The following table describes all commands accessible from the Context Menu of the Schem window. The invoked command runs on the selected object(s). Multiple selection with Ctrl and left mouse button is possible before pressing the right mouse button.

Popup Item (Schem) Description Keyboard

Copy

Copy the name(s) of the selected object(s) to the clipboard.

Ctrl+C

Copy OID

Copy the Object ID(s) of the selected object(s) to the clipboard.

Ctrl+Shift+C

Goto

Goto the selected object(s) in each window. Each window will temporarily highlight the object(s) with the Goto color (the next mouse selection will remove the "Goto color").

Ctrl+G

Goto and Zoom

Goto and zoom to the selected object(s) in each window.

Ctrl+Shift+G

Highlight

Highlight the selected object(s) with the current highlight color (0 to 15). The current highlight color is selected from the main menu or the toolbar.

Ctrl+H

Unhighlight

Unhighlight the selected object(s).

Ctrl+Shift+H

Unhighlight All

Unhighlight all highlighted object(s) and remove all highlight information.

Ctrl+Shift+U

Into Memory

Add the selected object(s) into the Mem window.

Ctrl+M

Cone

The "Cone" sub-menu provides functions to add objects to the Cone window.

Cone  Load

Load the selected object(s) to the Cone window.

Ctrl+L

Cone  Load Net

Load the selected net and its driver instance to the Cone window.

Ctrl+N

Cone  Append

Append the selected object(s) to the Cone window.

Ctrl+Shift+L

Cone  Append Net

Append the selected net and its driver instance to the Cone window.

Ctrl+Shift+N

Cone  Load Module

Load a flat view of the selected hierarchical instance into the Cone window (similar to the Complete Hierarchy function).

Cone  Extract to Driver/Load

This is a shortcut to the often used features of the Cone Extraction dialog to append the paths to clocked cells respectively top-level I/O ports.

Cone  Cone Extraction Dialog

Show the Cone Extraction dialog window.

Infobox

Show a dialog with additional information for the current selection. This includes also information about bus members of a bus.

Ctrl+I

SDF Info

Show timing information for the current selection.

Ctrl+Shift+I

Load Parasitic

Load the Parasitic view of the selected net into the Parasitic window.

Append Parasitic

Append the Parasitic view of the selected net into the Parasitic window.

Ctrl+R

Connectivity Browser

The Connectivity Browser helps to examine a Net on the module level or a Signal (all interconnected nets as they pass hierarchy borders). There are functions to browse the connected pins and to display some or all connections in the Cone window.

Edit

The Edit submenu provides functions to edit or add attributes to an object.

Edit  Add Comment

Add comments to any object.

Ctrl+O

Edit  Edit Attributes

Edit the Spice attributes of the selected instance.

Ctrl+E

Populate

Populate the contents of this module from the binfile.

Go Down

Dive down and display the module below the selected object (like a double-click in the Schem window).

Go Up

Dive up and display the module above the currently displayed module.

Unfold

Unfold the hierarchy box to see the contents.

Ctrl+U

Fold

Fold the hierarchy box to hide the contents.

Ctrl+F

Select All

Select all objects.

Ctrl+A

Copy Schematic to Clipboard

Copy the current schematic view to the clipboard.

Regenerate

Regenerate the displayed schematic.

Shift+R

Optimize Space

Optimize the displayed module: keep the existing placement of ports and instances and also the net routing. Optimize the net length (in y direction) and compact the y-space between components.

Shift+O

Optimize Wiring

Optimize the wiring of the displayed module: keep the placement of ports and instances but optimizes the net routing.

Shift+W

Connectivity Lens

Show an internal window with detailed connectivity information for the selected pinBus or portBus object.

Shift+M

Create Hierarchy

Create an artificial level of hierarchy around the selected instances.

Remove Hierarchy

Remove the selected hierarchical instance. if signal mode is enabled all modules in the path get singlized first.

Zoom

Provide schematic zoom operations.

Zoom  Fit (1:1)

Make the full schematic fit the window (not including the page frame and not any space around the schematic).

F

Zoom  Zoom in

Zoom in.

I

Zoom  Zoom out

Zoom out.

O

Zoom  Fullpage

Make the full page size fit the window - including the page frame.

Shift+F

Zoom  Original Size

Set zoom level to 1.

1

Zoom  To Object

Zoom to the selected object.

Shift+G

Beautify

The functions in this sub-menu allow you to beautify the schematic: hiding ports, rotate and mirror instances, place several instances in the same column, set the location of a port to top, bottom, left, or right.

Beautify  Rotate

The Rotate submenu provides functions to rotate instances.

Beautify  Rotate  R90

Rotate the selected instances by 90 degrees.

Beautify  Rotate  R180

Rotate the selected instances by 180 degrees.

Beautify  Rotate  R270

Rotate the selected instances by 270 degrees.

Beautify  Rotate  X-Mirror

Mirror the selected instances along the X axis.

Beautify  Rotate  Y-Mirror

Mirror the selected instances along the Y axis.

Beautify  Location

The Location submenu provides functions to set the location for pins or ports.

Beautify  Location  Left

Set the location of the selected pin or port to 'Left'.

Beautify  Location  Right

Set the location of the selected pin or port to 'Right'.

Beautify  Location  Top

Set the location of the selected pin or port to 'Top'.

Beautify  Location  Bottom

Set the location of the selected pin or port to 'Bottom'.

Beautify  Column Group

All selected instances will be displayed in one column.

Select Symbol

The Select Symbol submenu provides functions to set the symbol shape for the cell (with no primitive function) of the selected instance (with exactly one output port).

Select Symbol  BOX

Set the symbol shape to 'BOX' at the selected instance.

Select Symbol  BUF

Set the symbol shape to 'BUF' at the selected instance.

Select Symbol  INV

Set the symbol shape to 'INV' at the selected instance.

Select Symbol  AND

Set the symbol shape to 'AND' at the selected instance.

Select Symbol  NAND

Set the symbol shape to 'NAND' at the selected instance.

Select Symbol  OR

Set the symbol shape to 'OR' at the selected instance.

Select Symbol  NOR

Set the symbol shape to 'NOR' at the selected instance.

Select Symbol  XOR

Set the symbol shape to 'XOR' at the selected instance.

Select Symbol  XNOR

Set the symbol shape to 'XNOR' at the selected instance.

Select Symbol  MUX

Set the symbol shape to 'MUX' at the selected instance.

Select Symbol  Dialog…​

Open a dialog to select a symbol shape and define a port mapping.

The picture shows the context menu of the Cone window.

Cone Context Menu

The following table describes all commands accessible from the Context Menu of the Cone window. The invoked command runs on the selected object(s). Multiple selection with Ctrl and left mouse button is possible before pressing the right mouse button.

Popup Item (Cone) Description Keyboard

Copy

Copy the name(s) of the selected object(s) to the clipboard.

Ctrl+C

Copy OID

Copy the Object ID(s) of the selected object(s) to the clipboard.

Ctrl+Shift+C

Goto

Goto the selected object(s) in each window. Each window will temporarily highlight the object(s) with the Goto color (the next mouse selection will remove the "Goto color").

Ctrl+G

Goto and Zoom

Goto and zoom to the selected object(s) in each window.

Ctrl+Shift+G

Highlight

Highlight the selected object(s) with the current highlight color (0 to 15). The current highlight color is selected from the main menu or the toolbar.

Ctrl+H

Unhighlight

Unhighlight the selected object(s).

Ctrl+Shift+H

Unhighlight All

Unhighlight all highlighted object(s) and remove all highlight information.

Ctrl+Shift+U

Into Memory

Add the selected object(s) into the Mem window.

Ctrl+M

Cone

The "Cone" sub-menu provides functions to add objects to the Cone window.

Cone  Load

Load the selected object(s) to the Cone window.

Ctrl+L

Cone  Load Net

Load the selected net and its driver instance to the Cone window.

Ctrl+N

Cone  Append

Append the selected object(s) to the Cone window.

Ctrl+Shift+L

Cone  Append Net

Append the selected net and its driver instance to the Cone window.

Ctrl+Shift+N

Cone  Load Module

Load a flat view of the selected hierarchical instance into the Cone window (similar to the Complete Hierarchy function).

Cone  Extract to Driver/Load

This is a shortcut to the often used features of the Cone Extraction dialog to append the paths to clocked cells respectively top-level I/O ports.

Cone  Cone Extraction Dialog

Show the Cone Extraction dialog window.

Infobox

Show a dialog with additional information for the current selection. This includes also information about bus members of a bus.

Ctrl+I

SDF Info

Show timing information for the current selection.

Ctrl+Shift+I

Load Parasitic

Load the Parasitic view of the selected net into the Parasitic window.

Append Parasitic

Append the Parasitic view of the selected net into the Parasitic window.

Ctrl+R

Connectivity Browser

The Connectivity Browser helps to examine a Net on the module level or a Signal (all interconnected nets as they pass hierarchy borders). There are functions to browse the connected pins and to display some or all connections in the Cone window.

Edit

The Edit submenu provides functions to edit or add attributes to an object.

Edit  Add Comment

Add comments to any object.

Ctrl+O

Edit  Edit Attributes

Edit the Spice attributes of the selected instance.

Ctrl+E

Populate

Populate the contents of this module from the binfile.

More

Incrementally extend the schematic excerpt (like a double-click in the Cone window).

Select All

Select all objects.

Ctrl+A

Copy Schematic to Clipboard

Copy the current Cone schematic view to the clipboard.

Remove

Remove the selected object(s) from the window.

Del

Clear

Clear the window (remove all objects from the window).

Ctrl+Del

Regenerate

Regenerate the displayed schematic.

Shift+R

Optimize Space

Optimize the displayed module: keep the existing placement of ports and instances and also the net routing. Optimize the net length (in y direction) and compact the y-space between components.

Shift+O

Optimize Wiring

Optimize the wiring of the displayed module: keep the placement of ports and instances but optimize the net routing.

Shift+W

Connectivity Lens

Show an internal window with detailed connectivity information for the selected pinBus or portBus object.

Shift+M

Unfold

Unfold the hierarchy box to see the contents.

Ctrl+U

Fold

Fold the hierarchy box to hide the contents.

Ctrl+F

Complete

The "Complete" sub-menu provides some functions to add contents to the selected hierarchical instance.

Complete  Complete One Level

Add all objects of the down-module

Ctrl+T

Complete  Complete Hierarchy

Add all objects on all hierarchy levels below.

Ctrl+Shift+T

Complete  Complete Hierarchy and Fold

Add all objects on all hierarchy levels below and fold the selected hierarchical instance.

Unbundle

The selected netBus is split into individual nets.

Save Cone as Spice

The contents of the Cone window is saved as a Spice netlist.

Ctrl+Shift+E

Save Cone as Verilog

The contents of the Cone window is saved as a Verilog netlist.

Ctrl+Shift+S

Create Hierarchy

Create an artificial level of hierarchy around the selected instances.

Remove Hierarchy

Remove the selected hierarchical instance.

Zoom

Provide schematic zoom operations.

Zoom  Fit (1:1)

Make the full schematic fit the window (not including the page frame and not any space around the schematic).

F

Zoom  Zoom in

Zoom in.

I

Zoom  Zoom out

Zoom out.

O

Zoom  Fullpage

Make the full page size fit the window - including the page frame.

Shift+F

Zoom  Original Size

Set zoom level to 1.

1

Zoom  To Object

Zoom to the selected object.

Shift+G

Beautify

The functions in this sub-menu allow you to beautify the schematic: hiding ports, rotate and mirror instances, place several instances in the same column, set the location of a port to top, bottom, left, or right.

Beautify  Rotate

The Rotate submenu provides functions to rotate instances.

Beautify  Rotate  R90

Rotate the selected instances by 90 degrees.

Beautify  Rotate  R180

Rotate the selected instances by 180 degrees.

Beautify  Rotate  R270

Rotate the selected instances by 270 degrees.

Beautify  Rotate  X-Mirror

Mirror the selected instances along the X axis.

Beautify  Rotate  Y-Mirror

Mirror the selected instances along the Y axis.

Beautify  Location

The Location submenu provides functions to set the location for pins or ports.

Beautify  Location  Left

Set the location of the selected pin or port to 'Left'.

Beautify  Location  Right

Set the location of the selected pin or port to 'Right'.

Beautify  Location  Top

Set the location of the selected pin or port to 'Top'.

Beautify  Location  Bottom

Set the location of the selected pin or port to 'Bottom'.

Beautify  Column Group

All selected instances will be displayed in one column.

Select Symbol

The Select Symbol submenu provides functions to set the symbol shape for the cell (with no primitive function) of the selected instance (with exactly one output port).

Select Symbol  BOX

Set the symbol shape to 'BOX' at the selected instance.

Select Symbol  BUF

Set the symbol shape to 'BUF' at the selected instance.

Select Symbol  INV

Set the symbol shape to 'INV' at the selected instance.

Select Symbol  AND

Set the symbol shape to 'AND' at the selected instance.

Select Symbol  NAND

Set the symbol shape to 'NAND' at the selected instance.

Select Symbol  OR

Set the symbol shape to 'OR' at the selected instance.

Select Symbol  NOR

Set the symbol shape to 'NOR' at the selected instance.

Select Symbol  XOR

Set the symbol shape to 'XOR' at the selected instance.

Select Symbol  XNOR

Set the symbol shape to 'XNOR' at the selected instance.

Select Symbol  MUX

Set the symbol shape to 'MUX' at the selected instance.

Select Symbol  Dialog…​

Open a dialog to select a symbol shape and define a port mapping.

Snapshot

The "Snapshot" sub-menu supports Save and Restore the contents of the Cone window.

Snapshot  Save As

Save the contents of the Cone window as a Snapshot file.

Ctrl+S

Snapshot  Open

Restore the contents of the Cone window from a Snapshot file.

Ctrl+Shift+O

Snapshot  Open and Validate (remove)

Restore the contents of the Cone window from a Snapshot file and validate it against the loaded database. All invalid objects and connections are removed.

Snapshot  Open and Validate (grey out)

Restore the contents of the Cone window from a Snapshot file and validate it against the loaded database. All invalid objects and connections are grayed out.

The picture shows the context menu of the Source window.

Source Context Menu

The following table describes all commands accessible from the Context Menu of the Source window. The invoked command runs on the selected object(s). Multiple selection with Ctrl and left mouse button is possible before pressing the right mouse button.

Popup Item (Source) Description Keyboard

Copy

Copy the name(s) of the selected object(s) to the clipboard.

Ctrl+C

Copy OID

Copy the Object ID(s) of the selected object(s) to the clipboard.

Ctrl+Shift+C

Goto

Goto the selected object(s) in each window. Each window will temporarily highlight the object(s) with the Goto color (the next mouse selection will remove the "Goto color").

Ctrl+G

Goto and Zoom

Goto and zoom to the selected object(s) in each window.

Ctrl+Shift+G

Highlight

Highlight the selected object(s) with the current highlight color (0 to 15). The current highlight color is selected from the main menu or the toolbar.

Ctrl+H

Unhighlight

Unhighlight the selected object(s).

Ctrl+Shift+H

Unhighlight All

Unhighlight all highlighted object(s) and remove all highlight information.

Ctrl+Shift+U

Into Memory

Add the selected object(s) into the Mem window.

Ctrl+M

Cone

The "Cone" sub-menu provides functions to add objects to the Cone window.

Cone  Load

Load the selected object(s) to the Cone window.

Ctrl+L

Cone  Load Net

Load the selected net and its driver instance to the Cone window.

Ctrl+N

Cone  Append

Append the selected object(s) to the Cone window.

Ctrl+Shift+L

Cone  Append Net

Append the selected net and its driver instance to the Cone window.

Ctrl+Shift+N

Cone  Load Module

Load a flat view of the selected hierarchical instance into the Cone window (similar to the Complete Hierarchy function).

Cone  Extract to Driver/Load

This is a shortcut to the often used features of the Cone Extraction dialog to append the paths to clocked cells respectively top-level I/O ports.

Cone  Cone Extraction Dialog

Show the Cone Extraction dialog window.

Infobox

Show a dialog with additional information for the current selection. This includes also information about bus members of a bus.

Ctrl+I

SDF Info

Show timing information for the current selection.

Ctrl+Shift+I

Load Parasitic

Load the Parasitic view of the selected net into the Parasitic window.

Append Parasitic

Append the Parasitic view of the selected net into the Parasitic window.

Ctrl+R

Connectivity Browser

The Connectivity Browser helps to examine a Net on the module level or a Signal (all interconnected nets as they pass hierarchy borders). There are functions to browse the connected pins and to display some or all connections in the Cone window.

Edit

The Edit submenu provides functions to edit or add attributes to an object.

Edit  Add Comment

Add comments to any object.

Ctrl+O

Edit  Edit Attributes

Edit the Spice attributes of the selected instance.

Ctrl+E

Populate

Populate the contents of this module from the binfile.

Copy Text

Copy the selected text to the clipboard.

Ctrl+T

Find in File

Search for a pattern in the currently displayed file.

Ctrl+F

Open in Editor

Open the file in an external editor.

Shift+E

Add Bookmark

Add a bookmark in the Source window.

Prev Bookmark in File

Jump to the previous bookmark in the current source file.

Next Bookmark in File

Jump to the next bookmark in the current source file.

The picture shows the context menu of the Tree window.

Tree Context Menu

The following table describes all commands accessible from the Context Menu of the Tree window. The invoked command runs on the selected object.

Popup Item (Tree) Description Keyboard

Copy

Copy the name(s) of the selected object(s) to the clipboard.

Ctrl+C

Copy OID

Copy the Object ID(s) of the selected object(s) to the clipboard.

Ctrl+Shift+C

Goto

Goto the selected object(s) in each window. Each window will temporarily highlight the object(s) with the Goto color (the next mouse selection will remove the "Goto color").

Ctrl+G

Goto and Zoom

Goto and zoom to the selected object(s) in each window.

Ctrl+Shift+G

Highlight

Highlight the selected object(s) with the current highlight color (0 to 15). The current highlight color is selected from the main menu or the toolbar.

Ctrl+H

Unhighlight

Unhighlight the selected object(s).

Ctrl+Shift+H

Unhighlight All

Unhighlight all highlighted object(s) and remove all highlight information.

Ctrl+Shift+U

Into Memory

Add the selected object(s) into the Mem window.

Ctrl+M

Cone

The "Cone" sub-menu provides functions to add objects to the Cone window.

Cone  Load

Load the selected object(s) to the Cone window.

Ctrl+L

Cone  Load Net

Load the selected net and its driver instance to the Cone window.

Ctrl+N

Cone  Append

Append the selected object(s) to the Cone window.

Ctrl+Shift+L

Cone  Append Net

Append the selected net and its driver instance to the Cone window.

Ctrl+Shift+N

Cone  Load Module

Load a flat view of the selected hierarchical instance into the Cone window (similar to the Complete Hierarchy function).

Cone  Extract to Driver/Load

This is a shortcut to the often used features of the Cone Extraction dialog to append the paths to clocked cells respectively top-level I/O ports.

Cone  Cone Extraction Dialog

Show the Cone Extraction dialog window.

Infobox

Show a dialog with additional information for the current selection. This includes also information about bus members of a bus.

Ctrl+I

SDF Info

Show timing information for the current selection.

Ctrl+Shift+I

Load Parasitic

Load the Parasitic view of the selected net into the Parasitic window.

Append Parasitic

Append the Parasitic view of the selected net into the Parasitic window.

Ctrl+R

Connectivity Browser

The Connectivity Browser helps to examine a Net on the module level or a Signal (all interconnected nets as they pass hierarchy borders). There are functions to browse the connected pins and to display some or all connections in the Cone window.

Edit

The Edit submenu provides functions to edit or add attributes to an object.

Edit  Add Comment

Add comments to any object.

Ctrl+O

Edit  Edit Attributes

Edit the Spice attributes of the selected instance.

Ctrl+E

Populate

Populate the contents of this module from the binfile.

Current Module

The selected module will become the current module and it will be loaded and displayed in the Schem window (like a double-click in the Tree).

Show Module in…​

Show the selected module in an existing Schem window, a new Schem window in an existing Tab, or in a new top-level Schem window.

Report Instance Count

Count the number of module/primitive instances starting at the selected module.

New Top

Make this module the new top module.

Undo new Top

Undo the top module.

Show All Top Modules

Show all available top modules. This option is available if top module is not defined.

The picture shows the context menu of the Mem window.

Mem Context Menu

The following table describes all commands accessible from the Context Menu of the Mem window. The invoked command runs on the selected object(s). Multiple selection with Ctrl and left mouse button is possible before pressing the right mouse button.

Popup Item (Mem) Description Keyboard

Copy

Copy the name(s) of the selected object(s) to the clipboard.

Ctrl+C

Copy OID

Copy the Object ID(s) of the selected object(s) to the clipboard.

Ctrl+Shift+C

Goto

Goto the selected object(s) in each window. Each window will temporarily highlight the object(s) with the Goto color (the next mouse selection will remove the "Goto color").

Ctrl+G

Goto and Zoom

Goto and zoom to the selected object(s) in each window.

Ctrl+Shift+G

Highlight

Highlight the selected object(s) with the current highlight color (0 to 15). The current highlight color is selected from the main menu or the toolbar.

Ctrl+H

Unhighlight

Unhighlight the selected object(s).

Ctrl+Shift+H

Unhighlight All

Unhighlight all highlighted object(s) and remove all highlight information.

Ctrl+Shift+U

Into Memory

Add the selected object(s) into the Mem window.

Ctrl+M

Cone

The "Cone" sub-menu provides functions to add objects to the Cone window.

Cone  Load

Load the selected object(s) to the Cone window.

Ctrl+L

Cone  Load Net

Load the selected net and its driver instance to the Cone window.

Ctrl+N

Cone  Append

Append the selected object(s) to the Cone window.

Ctrl+Shift+L

Cone  Append Net

Append the selected net and its driver instance to the Cone window.

Ctrl+Shift+N

Cone  Load Module

Load a flat view of the selected hierarchical instance into the Cone window (similar to the Complete Hierarchy function).

Cone  Extract to Driver/Load

This is a shortcut to the often used features of the Cone Extraction dialog to append the paths to clocked cells respectively top-level I/O ports.

Cone  Cone Extraction Dialog

Show the Cone Extraction dialog window.

Infobox

Show a dialog with additional information for the current selection. This includes also information about bus members of a bus.

Ctrl+I

SDF Info

Show timing information for the current selection.

Ctrl+Shift+I

Load Parasitic

Load the Parasitic view of the selected net into the Parasitic window.

Append Parasitic

Append the Parasitic view of the selected net into the Parasitic window.

Ctrl+R

Connectivity Browser

The Connectivity Browser helps to examine a Net on the module level or a Signal (all interconnected nets as they pass hierarchy borders). There are functions to browse the connected pins and to display some or all connections in the Cone window.

Edit

The Edit submenu provides functions to edit or add attributes to an object.

Edit  Add Comment

Add comments to any object.

Ctrl+O

Edit  Edit Attributes

Edit the Spice attributes of the selected instance.

Ctrl+E

Populate

Populate the contents of this module from the binfile.

Paste OID

Insert objects from the clipboard into the Mem window.

Ctrl+V

Remove

Remove the selected object(s) from the window.

Del

Clear

Clear the window (remove all objects from the window).

Ctrl+Del

Remove Invalid

Remove objects ids that are now invalid (e.g. after the database has changed).

Shift+Del

Select All

Select all objects.

Ctrl+A

Select None

Deselect all objects.

Esc

Save to File

Save the contents to an ASCII file.

Ctrl+S

Convert

Depending on the selected object type this entry converts net to signal or instance to module/primitive and vice versa.

The picture shows the context menu of the Search window.

Search Context Menu

The following table describes all commands accessible from the Context Menu of the Search window. The invoked command runs on the selected object(s). Multiple selection with Ctrl and left mouse button is possible before pressing the right mouse button.

Popup Item (Search) Description Keyboard

Copy

Copy the name(s) of the selected object(s) to the clipboard.

Ctrl+C

Copy OID

Copy the Object ID(s) of the selected object(s) to the clipboard.

Ctrl+Shift+C

Goto

Goto the selected object(s) in each window. Each window will temporarily highlight the object(s) with the Goto color (the next mouse selection will remove the "Goto color").

Ctrl+G

Goto and Zoom

Goto and zoom to the selected object(s) in each window.

Ctrl+Shift+G

Highlight

Highlight the selected object(s) with the current highlight color (0 to 15). The current highlight color is selected from the main menu or the toolbar.

Ctrl+H

Unhighlight

Unhighlight the selected object(s).

Ctrl+Shift+H

Unhighlight All

Unhighlight all highlighted object(s) and remove all highlight information.

Ctrl+Shift+U

Into Memory

Add the selected object(s) into the Mem window.

Ctrl+M

Cone

The "Cone" sub-menu provides functions to add objects to the Cone window.

Cone  Load

Load the selected object(s) to the Cone window.

Ctrl+L

Cone  Load Net

Load the selected net and its driver instance to the Cone window.

Ctrl+N

Cone  Append

Append the selected object(s) to the Cone window.

Ctrl+Shift+L

Cone  Append Net

Append the selected net and its driver instance to the Cone window.

Ctrl+Shift+N

Cone  Load Module

Load a flat view of the selected hierarchical instance into the Cone window (similar to the Complete Hierarchy function).

Cone  Extract to Driver/Load

This is a shortcut to the often used features of the Cone Extraction dialog to append the paths to clocked cells respectively top-level I/O ports.

Cone  Cone Extraction Dialog

Show the Cone Extraction dialog window.

Infobox

Show a dialog with additional information for the current selection. This includes also information about bus members of a bus.

Ctrl+I

SDF Info

Show timing information for the current selection.

Ctrl+Shift+I

Load Parasitic

Load the Parasitic view of the selected net into the Parasitic window.

Append Parasitic

Append the Parasitic view of the selected net into the Parasitic window.

Ctrl+R

Connectivity Browser

The Connectivity Browser helps to examine a Net on the module level or a Signal (all interconnected nets as they pass hierarchy borders). There are functions to browse the connected pins and to display some or all connections in the Cone window.

Edit

The Edit submenu provides functions to edit or add attributes to an object.

Edit  Add Comment

Add comments to any object.

Ctrl+O

Edit  Edit Attributes

Edit the Spice attributes of the selected instance.

Ctrl+E

Populate

Populate the contents of this module from the binfile.

Remove

Remove the selected object(s) from the window.

Del

Clear

Clear the window (remove all objects from the window).

Ctrl+Del

Select All

Select all objects.

Ctrl+A

Select None

Deselect all objects.

Esc

Save to File

Save the contents to an ASCII file.

Ctrl+S

The picture shows the context menu of the Wave window.

Wave Context Menu

The following table describes all commands accessible from the Context Menu of the Wave window. The invoked command runs on the selected object(s). Multiple selection with Ctrl and left mouse button is possible before pressing the right mouse button.

Popup Item (Wave) Description Keyboard

Copy

Copy the name(s) of the selected object(s) to the clipboard.

Ctrl+C

Copy OID

Copy the Object ID(s) of the selected object(s) to the clipboard.

Ctrl+Shift+C

Goto

Goto the selected object(s) in each window. Each window will temporarily highlight the object(s) with the Goto color (the next mouse selection will remove the "Goto color").

Ctrl+G

Goto and Zoom

Goto and zoom to the selected object(s) in each window.

Ctrl+Shift+G

Highlight

Highlight the selected object(s) with the current highlight color (0 to 15). The current highlight color is selected from the main menu or the toolbar.

Ctrl+H

Unhighlight

Unhighlight the selected object(s).

Ctrl+Shift+H

Unhighlight All

Unhighlight all highlighted object(s) and remove all highlight information.

Ctrl+Shift+U

Into Memory

Add the selected object(s) into the Mem window.

Ctrl+M

Cone

The "Cone" sub-menu provides functions to add objects to the Cone window.

Cone  Load

Load the selected object(s) to the Cone window.

Ctrl+L

Cone  Load Net

Load the selected net and its driver instance to the Cone window.

Ctrl+N

Cone  Append

Append the selected object(s) to the Cone window.

Ctrl+Shift+L

Cone  Append Net

Append the selected net and its driver instance to the Cone window.

Ctrl+Shift+N

Cone  Load Module

Load a flat view of the selected hierarchical instance into the Cone window (similar to the Complete Hierarchy function).

Cone  Extract to Driver/Load

This is a shortcut to the often used features of the Cone Extraction dialog to append the paths to clocked cells respectively top-level I/O ports.

Cone  Cone Extraction Dialog

Show the Cone Extraction dialog window.

Infobox

Show a dialog with additional information for the current selection. This includes also information about bus members of a bus.

Ctrl+I

SDF Info

Show timing information for the current selection.

Ctrl+Shift+I

Load Parasitic

Load the Parasitic view of the selected net into the Parasitic window.

Append Parasitic

Append the Parasitic view of the selected net into the Parasitic window.

Ctrl+R

Connectivity Browser

The Connectivity Browser helps to examine a Net on the module level or a Signal (all interconnected nets as they pass hierarchy borders). There are functions to browse the connected pins and to display some or all connections in the Cone window.

Edit

The Edit submenu provides functions to edit or add attributes to an object.

Edit  Add Comment

Add comments to any object.

Ctrl+O

Edit  Edit Attributes

Edit the Spice attributes of the selected instance.

Ctrl+E

Populate

Populate the contents of this module from the binfile.

Select All

Select all signals.

Ctrl+A

Remove

Remove the selected signal(s) from the Waveview window.

Del

Clear

Clear the Waveview window (remove all signals).

Ctrl+Del

Goto Start

Jump to the start time.

Home

Goto End

Jump to the end time.

End

Previous Value Change

Jump to the previous value change of the selected signal(s).

P

Next Value Change

Jump to the next value change of the selected signal(s).

N

Zoom Fit

Zoom fit to show all value changes.

F

Zoom In

Zoom in.

I

Zoom Out

Zoom out.

O

Copy Value

Copy the signal value at the time marker to the clipboard.

Value Format

The "Value Format" sub-menu provides commands to change the displayed format of the bus value only for the selected signal(s).

Value Format  Hexadecimal

Display bus values in hexadecimal format.

Value Format  Decimal

Display bus values in decimal format.

Value Format  Binary

Display bus values in binary format.

Value Format  Octal

Display bus values in octal format.

Trace Back X

Find the source of the selected X value.

Clone

Clone selected variables.

Group

The "Group" sub-menu provides grouping related functions.

Group  Create Group

Create a new group containing the selected signals (and keep the signals loaded).

Group  Move to Group

Create a new group containing the selected signals (and remove the signals).

Group  Rename Group

Change the name of a group.

The picture shows the context menu of the Parasitic window.

Parasitic Context Menu

The following table describes all commands accessible from the Context Menu of the Parasitic window. The invoked command runs on the selected object(s). Multiple selection with Ctrl and left mouse button is possible before pressing the right mouse button.

Popup Item (Parasitic) Description Keyboard

Highlight

Highlight the selected object(s) with the current highlight color.

Ctrl+H

Unhighlight

Unhighlight the selected object(s).

Ctrl+Shift+H

Fill Parasitic

Fill the parasitic module (add the RC network).

Connect

Incrementally extend the Parasitic view (like a double-click on a pin in the Parasitic window).

Remove

Remove the selected object(s) from the Parasitic window.

Del

Fold

Fold the parasitic module to hide the R/C network.

Ctrl+F

Unfold

Unfold the parasitic module to see the R/C network.

Ctrl+U

Clear

Clear the Parasitic window (remove all objects).

Ctrl+Del

Regenerate

Regenerate the displayed schematic.

Shift+R

Optimize

Optimize the net length (in y direction) and compacts the y-space between components of the displayed schematic.

Shift+O

Save as Spice

The contents of the Parasitic window is saved as a Spice netlist.

Save as DSPF

The contents of the Parasitic window is saved as a DSPF netlist.

Save as SPEF

The contents of the Parasitic window is saved as a SPEF netlist.

Zoom

Provide schematic zoom operations.

Zoom  Fit (1:1)

Make the full schematic fit the window (not including the page frame and not any space around the schematic).

F

Zoom  Zoom in

Zoom in.

I

Zoom  Zoom out

Zoom out.

O

Zoom  Fullpage

Make the full page size fit the window - including the page frame.

Shift+F

Zoom  Original Size

Set zoom level to 1.

1

Zoom  To Object

Zoom to the selected object.

Shift+G

Toolbar

The toolbar shows several icons as shortcuts to commonly used commands (most of them are also available in the main menu).

Toolbar
  • Toolbar Icon for open Show the Read File(s) dialog.

  • Toolbar Icon for reads Show the Read Spice dialog.

  • Toolbar Icon for saves Save a modified Spice file.

  • Toolbar Icon for readvcd Open a Waveform Database.

  • Toolbar Icon for openbinfile Open a ZDB Binfile.

  • Toolbar Icon for attach Attach additional information (e.g. SDF or SPF).

  • Toolbar Icon for search Show/Hide the Search window.

  • Toolbar Icon for showConsole Show/Hide the Console window.

  • Toolbar Icon for messages Show/Hide the Messages window.

  • Toolbar Icon for showWave Show/Hide the Waveform Viewer.

  • Toolbar Icon for showAnalogWave Show/Hide the Analog Waveform Viewer.

  • Toolbar Icon for showRC Show/Hide the Parasitic Viewer.

  • Toolbar Icon for info Show/Hide the Infobox window.

  • Toolbar Icon for print Show the Print dialog.

  • Toolbar Icon for photo Save a schematic as an image.

  • Toolbar Icon for report Count the number of instantiated modules and primitives.

  • Toolbar Icon for settings Show the Preferences dialog.

  • Toolbar Icon for plugin Show the Plugins dialog.

  • Toolbar Icon for blocklevel Toggle the Blocklevel mode.

  • Toolbar Icon for selectHiColor Select a highlight color.

  • Toolbar Icon for signal Disabled Toolbar Icon for signal Toggle the Signal Mode. If Signal Mode is enabled then all selected net objects will be converted to signal objects (list of interconnected nets through the hierarchy).

  • Toolbar Icon for help Open the documentation in your browser.

  • Toolbar Icon for selection The Last Selection label always displays the last recently selected object(s). It displays the type and the name of the object. If more than one object is selected, then the number of additional selected objects is displayed in parenthesis.

Open Input Files Dialog

This chapter describes the Open Input Files dialog window which is the GUI to all parsers shipped with StarVision PRO. It also explains how to add design files and configure the parsers. The "Open Files" dialog can be opened from the File > Open menu or by the corresponding icon in the toolbar.

For reading Spice and Parasitic data, please use the Read Spice dialog.

Supported Input File Types

StarVision PRO is shipped with parsers to read the following file types:

Add Design Files

The Input Files tab provides an interface to select various design files for compilation. This can be a mix of RTL Files, Netlist Files and Library Files.

Input Files

To add a new file, press the fopen button and choose an input file. The file type is guessed based on the extension. The Add button can be used to add a manually entered file to the input file table.

The table on the Input Files tab shows all added design files, their types and the names of their compilation libraries (for netlist files the library name is always "work").

To delete a file, select the row in the input file table and press the Delete key on your keyboard. To delete all files at a time, the Ctrl+Delete key can be used.

To change a file’s type or compilation library, you can double click on the corresponding column of the row in the input file table to enter the edit mode.

The Save Settings and Restore Settings buttons at the bottom of the dialog can be used to save and restore all settings from the Open Input Files dialog.

The Reset Settings button sets all values back to their built-in defaults and also clears the input file table.

The Read button will start the parser on all selected input files.

RTL Files

The RTL parser of StarVision PRO can read different versions of Verilog and VHDL.

Verilog

For Verilog the versions "Verilog 95", "Verilog 2001" and "SystemVerilog" are supported. The default version, if the input file type is guessed, is "Verilog 2001".

VHDL

For VHDL the versions "VHDL 87", "VHDL 93", "VHDL 2000", "VHDL 2008", and "VHDL 2019" are supported. The default version, if the input file type is guessed, is "VHDL 93".

Netlist Files

StarVision PRO provides netlist parsers for structural Verilog, EDIF 2.0.0 and DEF files.

Verilog

The Verilog netlist parser of StarVision PRO is optimized to read huge netlists fast and memory efficient. Therefore only the structural subset of "Verilog 95" is supported. For reading behavioral Verilog code please use the RTL Verilog parser.

All instantiated cells must be defined either as Verilog "modules" or "primitives", however, a declare-before-use restriction does not apply. This means, it’s ok for the Verilog Parser to find the cell definitions just anywhere in the Verilog design or Library files. But all library cells must be defined in Verilog. Specifying symbol shapes (e.g. with Symlib) is not sufficient.

If Verilog libraries are missing, then the Verilog parser gets "undefined instances" and tries to guess the footprints of the missing cells. However, for Verilog instantiation by order, the library cell’s port names cannot be guessed. They are created with "undefined" direction. In general, missing Verilog library cells result in bad looking schematics - even if symbol shapes are specified (as mentioned above).

EDIF

The EDIF parser of StarVision PRO can read EDIF 2.0.0 files and extract the netlist information. All EDIF schematic elements will be silently ignored.

DEF

A DEF file is usually read in combination with the corresponding LEF file. A DEF file contains the structure and the layout of a design. The DEF parser of StarVision PRO extracts only the netlist information to display a schematic of the design data contained in the DEF file.

Library Files

Liberty

A Liberty file can be used to provide library information for e.g. the Verilog netlist parser. The interface port directions are extracted from the Liberty file as well as the function of the output port(s). Based on the Boolean equation of the function a gate symbol shape is generated.

LEF

A LEF file is usually used in combination with the corresponding DEF file. A LEF file contains the interface definition and the layout of a cell. The LEF parser of StarVision PRO extracts only the interface information and ignores all layout information.

Symlib

A "Symbol Library" file only defines the symbol shapes in the schematic. The interface definition is not extracted and needs to be defined either in the input file or any other library format. Enable the "Preload a symbol library file" option to read the symbol library files before the netlist.

Import Verilog Fileset

For your convenience, a Verilog XL fileset can be imported into StarVision PRO. The input files, include directories, library settings and macro definitions are distributed into the corresponding fields of this dialog.

Verilog Specific Settings

Verilog Settings

The Verilog Settings tab allows you to add include directories, add Verilog library files, specify a library search path and a library file extension. Also Verilog macros can be defined in this tab.

To add an option select the corresponding entry in the Select Option combobox and browse for a file or add a value.

VHDL Specific Settings

VHDL Settings

The VHDL Settings tab allows you to specify VHDL files to be compiled into binary VHDL libraries (VDB).

StarVision PRO comes with a set of precompiled VDBs for standard libraries. You can add your own VHDL Libpaths. Adding a new path will first check if VDB files exist in this path. If this is not the case then a set of initial libraries can be created.

The Add Library section allows you to add your own VHDL files and the corresponding library name.

The Compiled Libraries table will show all VDB libraries and their units found in the selected VHDL Libpath.

Options to Configure the Parsers

The Options tab allows you to define various parser settings. All options can also be specified on the command line.

Parser Options

General Parser Options

  • Show Parser Warnings - Displays parser warnings in the Console window.

  • Break on Parser Errors - Stop the parser if an error occurs.

  • Add Data for Source window - Controls if additional source file cross-reference information is created (switching off saves some memory but disables the Source window).

  • Top Module - Enter a new top-level module name. The parser removes all modules not inside the instantiation tree below the given design top module.

  • Strict Language Checking - Degrade selected errors into warnings and warnings into info messages.

  • Preserve Assignments - Preserve every assignment in the schematic.

  • Preload Symbol Libraries - Load symbol library files before the netlist.

RTL Options

  • Compact Schematic - Create a more compact schematic view.

  • Ignore Case - Ignore character-case during module-name and port-name lookup.

  • Elaborate Operators - Control creation of operator implementation.

  • Don’t Elaborate Modules - Space separated list of module name patterns. Matching modules are not elaborated, i.e. no content is created for them - just the interface.

  • Add Hierarchy for Processes - Add artificial hierarchy for all procedural statements.

  • Add Hierarchy for Function Calls - Add artificial hierarchy for function calls.

  • Extract Macro Information - extract macro definitions and references from the source files and display them in the Source window.

  • Eliminate Common Subexpressions - Merges logic that creates the same functional behavior.

  • Ignore all Pragmas - Ignore all Pragmas defined in the input file(s).

  • Ignore only Translate Pragmas - Ignore only Translate and Synthesis Pragmas.

  • Minimum RAM Size Limit (in bits) - Limit for multiport RAM generation.

  • Compile Mode - Select the multi file (mfcu) or single file (sfcu) compile mode for the input files.

  • Top Level Library - The name of the library containing the top-level design.

Netlist Options

  • Connect by Name - Space separated list of net name patterns. Matching nets are connected by name, i.e. they are not routed in the schematic view.

  • Recreate Hierarchy - Split instance names of a flat design at the given hierarchy separator character and re-create the design hierarchy.

  • Guess Buses - Guesses module port and net buses. The two entries contain open/close bit subscript delimiter characters. Close may be empty. For the special case that open contains 0, no character between base name and bit subscript is needed.

  • Guess Inst Arrays - Guesses instance arrays. The two entries contain open/close subscript delimiter characters. The close field can be empty to support only one separator character between the name and the bit subscript. For the special case that open contains 0, no character between base name and the subscript is needed.

  • Remove Buffers - Remove all BUF and WIDE_BUF instances and merge the connected nets.

  • Reduce Inverters - Replace odd number of INVs in a chain by one INV and remove an even number of INVs in a chain.

Merge Design Data

The 'Merge with' option allows you to merge the selected design files either with the Current Database or with a previously saved Binfile.

Read Spice Dialog

This dialog window is the GUI to the Spice parser. This chapter describes how it can be used to configure the Spice parser options and then start the parser.

The Spice parser of StarVision PRO supports the following Spice dialects:

  • Spice2

  • Spice3

  • PSpice

  • HSpice

  • CDL

  • Spectre

  • Calibre

  • LTSpice

  • Eldo

The parasitic package additionally supports reading

  • DSPF

  • SPEF

files.

The parser supports object names with arbitrary length consisting of 7-bit ASCII characters.

General Notes

  • The tooltips might give you useful information about specific GUI items.

  • The dialog is 'semi-modal', i.e. it always stays on top of StarVision PRO’s main window, but you may still interact with the main window (especially for dragging & dropping nets and instances from other views (e.g. Schematic) to the Expand or Nodes tabs).

The buttons in the lower part of the dialog have the following meaning:

  • Read - commit changes and start parsing the specified Spice file and close the dialog; warnings emitted by the parser will be displayed in the console.

  • Cancel - close dialog and discard changes.

  • ? - show the Read Spice documentation.

To change one of the settings using the GUI API you can use the gui settings set command with the name shown in brackets after each option.

Simple Mode

Read Spice dialog in 'Simple' mode

This picture displays the Read Spice dialog in 'Simple' mode.

The first time you open the Read Spice dialog, it will open in 'Simple' mode. In this mode you can (only) configure the most important settings (like the Spice dialect and the names of the power and ground nodes) for the parser.

The options are:

  • Spice File - the name of the file to parse (read); use the fopen button to open a file chooser dialog.+ [Spice:fname:F]

  • Spice Dialect - choose the Spice dialect of the Spice file to be parsed.
    [Spice:spicedialect]

  • Power - enter a space separated list of global power nodes; usually nodes labeled VDD or VCC are power nodes.
    [Spice:power]

  • Ground - enter a space separated list of global ground nodes; usually nodes labeled GND or VSS are ground nodes.
    [Spice:ground]

  • Negpower - enter a space separated list of global negpower nodes.
    [Spice:negpower]

Advanced Mode

Using the Show Options >>> button, you can switch from the Simple mode to the Advanced mode; use the Hide Options <<< button to switch back.
[Spice:advMode]

Note

When you need to drop objects on an inactive (hidden) tab, you achieve this in exactly the same way as you would for the tabs in the main GUI: wait shortly over the name of the inactive tab to activate it and drop the objects in the tab.

Options

Read Spice dialog 'Options' tab

The Options tab is divided into logical groups of Spice parser options:

  • Parser Options

    • Evaluate Parameters - Spice parser evaluates parameters.
      [Spice:evalparams:ok]

    • Show Warnings - displays parser warnings in the Console window

    • Load as Library - Input Spice file is interpreted as a library file.
      [Spice:forcelibrary:ok]

    • Top Module - removes all modules not inside the instantiation tree below the given top module.
      [Spice:top:ok], [Spice:top]

    • Name of Created Top - if a top module is created use given name.
      [Spice:createdtop:ok], [Spice:createdtop]

    • Remove Leading - Remove all leading characters from instance names which match the characters in the entry field.
      [Spice:removelead:ok], [Spice:removelead]

    • Add Data for Source window - enable the generation of source code positions for cross probing to the Source window. If not set, then the Source window will not display the Spice netlist.
      [Spice:spos:ok]

    • Add Instance Comments - adds instance comments as attributes.
      [Spice:icomment:ok]

    • Add Top Ports - add ports to the top sub-circuit based on a connectivity analysis (a floating node will become an I/O port).
      [Spice:addtopports:ok]

    • Avoid Shorted Outputs - avoid shorted out ports, make them inout.
      [Spice:avoidshorted:ok]

    • Ignore Case - parsing will be case insensitive
      [Spice:ignorecase:ok]

    • Ignore First Line - Don’t read the title (first line) of the main Spice file.
      [Spice:ignorefirstline:ok]

    • Ignore Duplicate Include - Ignore multiple includes of the same Spice file.
      [Spice:ignoreduplincl:ok]

    • Analyze Coupling (DSPF/SPEF) - Enable or disable the analyzing of coupling dspf/spef ports.
      [Spice:analyzecoupling:ok]

    • Duplicate Subckt - Select action for multiple defined sub-circuits. The value error will stop parsing and report an error message. The value warning will report a warning message, create the sub-circuit and continue parsing (the duplicate sub-circuit will be resolved later by the resolve duplicate operator). The value ignore will not report a warning message, create the sub-circuit and continue parsing (the duplicate sub-circuit will be resolved later by the resolve duplicate operator). The value skip will not create the sub-circuit, report an info message and continue parsing.
      [Spice:duplsubckt]

    • Merge with - Merge the Spice file with either the Current Database or an existing Binfile.
      [Spice:merge:ok], [Spice:binlib], [Spice:binlib:FL]

  • Power Options

    • Evaluate VSource to Power - toggle algorithm that evaluates power nodes from DC voltage sources.
      [Spice:evalvsource2power:ok]

    • Evaluate VSource to Input - evaluates ports from non-DC voltage sources at the top level.
      [Spice:evalvsource2input:ok]

    • Propagate - control the propagation of power nets:
      [Spice:pwrprop]

      • Hierarchy: same power values are propagated down the hierarchy.

      • To Name Change: if the net name does not match the connected pin name then the propagation stops.

      • Disabled: no power nets are propagated (not recommended).

    • Hide Power Ports - hide subckt ports which are connected to power nets.
      [Spice:hidepowerports:ok]

    • Guess Power and Ground nets - guess power/ground nets which are connected to bulks.
      [Spice:guesspower:ok]

  • Netlist Reduction Options

    • Ignore Capacitors - ignore (remove) capacitors if the threshold value is lower or equal than the specified number (in Farad, Spice units accepted). Additional a glob style model name prefixed by 'model:' can be used to ignore all instances of the specified model.
      [Spice:ignorecaps:ok], [Spice:ignorecaps]

    • Merge Parallel Capacitors - merge capacitors which are parallel while parsing.
      [Spice:mergeparallelcap:ok]

    • Merge Serial Capacitors - merge serial capacitors while parsing.
      [Spice:mergeserialcap:ok]

    • Remove Capacitors Connected to Same Net - remove all capacitors which are connected to the same net.
      [Spice:removecap:ok]

    • Short Resistors - ignore (short) resistors if the threshold value is lower or equal than the specified number (in Ohm, Spice units accepted). Additional a glob style model name prefixed by 'model:' can be used to ignore all instances of the specified model.
      [Spice:shortres:ok], [Spice:shortres]

    • Merge Parallel Resistors - merge resistors which are parallel while parsing.
      [Spice:mergeparallelres:ok]

    • Merge Serial Resistors - merge serial resistors while parsing.
      [Spice:mergeserialres:ok]

    • Remove Resistors Connected to Same Net - remove all resistors which are connected to the same net.
      [Spice:removeres:ok]

    • Merge Parallel Diodes - merge diodes which are parallel.
      [Spice:mergeparalleldiode:ok]

    • Merge Parallel Transistors - merge all transistors which are in parallel.
      [Spice:mergeparallel:ok]

    • Multi Attribute Name - name of the multiplier attribute used by the merge parallel transistors option.
      [Spice:multi]

    • Equal Value Variation - variation to treat device values as equal in merge parallel (enter a value between 0.0 and 1.0).
      [Spice:multiequal]

    • Merge Serial Transistors - merge serial transistors.
      [Spice:mergeparallel:ok], [Spice:mergeserial:ok]

    • Remove Spurious MOS - Remove spurious MOS transistors (e.g. if the gate pin is connected to power/ground, if all pins are dangling or shortened, etc.). Mode on is more aggressive, while the useless mode is more conservative and produces warning messages instead of removing transistors in some cases.
      [Spice:removemos]

    • Connect by Name - Space separated list of node name patterns. Matching nodes are connected by name, i.e. they are not routed in the schematic view.
      [Spice:connectbyname]

    • Remove Empty Modules - remove empty modules.
      [Spice:removeemptymodule:ok]

    • Create Hier - Re-create hierarchy of a flat design. Split the instance names at the entered hierarchy separator.
      [Spice:createhier:ok], [Spice:createhier]

    • Guess Bus - Guesses subckt buses. The two entries contain open/close bit subscript delimiter characters. The close field can be empty to support only one separator character between the name and the bit subscript. For the special case that open contains 0, no character between base name and bit subscript is needed.
      [Spice:guessbus:ok], [Spice:guessbuscl], [Spice:guessbusop]

    • Guess Inst Arrays - Guesses instance arrays. The two entries contain open/close subscript delimiter characters. The close field can be empty to support only one separator character between the name and the bit subscript. For the special case that open contains 0, no character between base name and the subscript is needed.
      [Spice:guessinstarray:ok], [Spice:guessinstarraycl], [Spice:guessinstarrayop]

  • Recognize CMOS Gates - Recognize transistor groups that form a logical unit, e.g. a cell.
    [Spice:recognize:ok]

    • Do not Fold Recognized Gates - Don’t perform any folding.

    • Only Fold Recognized Gates with Equal Attr - Fold all recognized cells with the same function and with identical transistor parameters (like W and L) into one single cell with multiple instances.
      [Spice:recognize_fold]

    • Fold all Recognized Gates - Fold all recognized cells with the same function into one single cell with multiple instances. The transistor parameters (like W and L) are moved to the individual cell instances.

    • Fold all Recognized Gates (don’t create Flat Attr) - do not add flat attributes to each instantiation path of a recognized and folded gate.

    • Create Boxes for Unrecognized Groups - Move unknown transistor groups into a hierarchical box.
      [Spice:recognize_box:ok]

    • Don’t Recognize Transfer Gates - Do not group transistors forming a transfer gate.
      [Spice:recognize_notran:ok]

    • Don’t Recognize Parallel Paths to Power or Ground - Do not recognize gates that contain parallel paths to power or ground.
      [Spice:recognize_nopar:ok]

    • Create Supply Ports - Create supply ports at the recognized gates.
      [Spice:recognize_createSupply:ok]

Include Directories

Read Spice dialog 'Incdirs' tab

This picture displays the Read Spice dialog in 'Advanced' mode with the Incdirs tab open.

Using this dialog, you can specify which directories are searched when the Spice parser encounters an include statement.

Use the Add button to add a single directory to the list by choosing it in a dialog box.

The Remove button will delete the currently selected directories from the list.

Use the Remove All button to delete all list entries at once.

To select a single entry in the list, click on it with the left mouse button. To select multiple entries, use Ctrl and/or Shift keys while clicking on the list entries or keep left mouse button pressed while dragging over list entries to be selected.
[Spice:incdir:FL]

Expand

Read Spice dialog 'Expand' tab

The parser can automatically flatten certain sub-circuits specified in the list. To add a sub-circuit, either use the Add button and double click on the new table entry to enter the sub-circuit’s name manually, or use the Drag & Drop feature to drag non-primitive instances and/or modules from the Schematic, Cone or Tree window into the list or onto the Add button.

The Remove button will delete the currently selected sub-circuits from the list.

Use the Remove All button to delete all list entries at once.

To edit the name of a sub-circuit to expand, double-click on it with the left mouse button, then edit the sub-circuit’s name and press Return when done or click anywhere with the left mouse button. You may also use wildcards (like in the Search window) in the sub-circuit’s name.

To select a sub-circuit, single-click on it with the left mouse button. Use the mouse or arrow-keys to change the selected list entry.
[Spice:expand]

Sub-circuits that contain only one device will be automatically expanded by the Spice parser. This behavior can be controlled using the Auto expand subckt with one device option.
[Spice:autoexpand:ok]

Sub-circuits that contain no devices are automatically expanded if the Auto expand subckt with no device option is enabled.
[Spice:autoexpand0:ok]

Nodes

Read Spice dialog 'Nodes' tab

On the Nodes tab, you can tell the parser to flag certain nodes as power, negpower, ground, input, output, inout, or undefined. This can be necessary to generate smarter and more readable schematics. StarVision PRO will propagate power/ground information down to sub-modules; but this propagation stops at nodes that are defined to be input or output.

To add a new node definition entry, use the Drag & Drop feature to drag nets (e.g. from the Schematic, Cone or Mem window) into the list (and choose a mode for that net from the popup list) or directly onto one of the Power, Negpower, Ground, Input, Output, Inout, or Undef buttons.

The button Remove will delete the currently selected nodes from the list.

Use the Remove All button to delete all list entries at once.

To edit an entry, double-click on it with the left mouse button, then edit the sub-circuit’s name, the node’s name or change the mode using the popup list; press Return when done or click anywhere with the left mouse button.
[Spice:node]

To select a sub-circuit, single-click on it with the left mouse button. Use the mouse or arrow-keys to change the selected list entry.

Devices

Read Spice dialog 'Devices' tab

If the "Guess Macro Models" option is enabled then a built-in list of typical macro models is used to map sub-circuits to transistor devices.
The Devices tab is divided into two sections: The upper part lists all sub-circuits that should be treated as devices. Each device type supports one of the optional suffixes '' or '-'. The '' suffixes supports mapping devices with more terminals, while the '-' suffix enables support for devices with less terminals. MOS devices also support the suffix '3' to map to a three terminal device. The lower part allows you to specify model names (or a glob style pattern) for special MOS devices with only three terminals. For all transistor instances matching the model name, the Source and Drain pins will be tied together.
[Spice:subckt2dev], [Spice:definedevice], [Spice:guessmacromodels:ok], [Spice:resolveduplicates:ok], [Spice:threetermdev]

Symlib

Read Spice dialog 'Symlib' tab

The Symlib tab lists all Symbol Libraries that will be searched for symbol shape definitions (to create the schematic).

There are four ways to define symlib files:

  • You can add new symbol libraries using the Add button in the Symlib tab of the Read Spice dialog (picture above).

  • You can specify symbol libraries using the command line option -symlib.

  • You can set the environment variable GV_SYMLIB to point to one or more symbol libraries (a space separated list):

$ GV_SYMLIB=/path/to/file1.sym
$ export GV_SYMLIB
  • You can store symbol libraries in a Workspace file.

A detailed description of the symlib files can be found in the symlib description.

The symlib files are searched in the order above for symbol shape definitions.

The symbol shapes are assigned after reading the netlist. Enable the "Preload a symbol library file" option to read the symbol library files before the netlist.
[Spice:sym2zdb:ok] [symlib:FL]

Command Line Options

The command line options of StarVision PRO are:

Option Parameters Description

-addTopPorts

on|off

Add top I/O ports from connectivity.
(The default value for this option is on.)

-analogWave

<filename>

Open an analog wave simulation trace.

-analyzeCoupling

on|off

Analyze spf coupling ports.
(The default value for this option is off.)

-argsFromFile

<file>

Read cmdline arguments from file.

-attachDSPF

<file.dspf>

Specify a DSPF file.

-attachSPEF

<file.spef>

Specify a SPEF file.

-autoExpand

on|off

Remove hierarchy if subckt has one device.
(The default value for this option is off.)

-autoExpand0

on|off

Remove hierarchy if subckt has no device.
(The default value for this option is off.)

-avoidShorted

on|off

Avoid shorted out ports and make them inout.
(The default value for this option is off.)

-binfile

<file>

Open a zdb binfile.

-binlib

<file>

Open this binfile as a precompiled library.

-breakOnError | -breakOnErr

on|off

Stop on errors during parsing.
(The default value for this option is off.)

-builtinWorkspace

Don’t read workspace file from home directory.

-bulk

wrong|all|none|nopg

Create net wires and display bulk connections. If the mode is wrong then only bulk connections at PMOS or PNP transistors are shown that are not connected to a power or negpower node. Bulk connections at NMOS or NPN transistors are shown that are not connected to a ground node. If the value is all then all bulk connections are shown. If the value is none then no bulk connections are shown. If the value is nopg then only bulks not connected to a power, negpower or ground node are shown.
(The default value for this option is wrong.)

-calibre

Read the input file as a Calibre netlist.

-cdl

Read the input file as a CDL netlist.

-check_license

Check the availability of the license.

-compact

off|low|med|full

Adjust the level of compaction for a RTL schematic.
(The default value for this option is med.)

-compileMode

default|mfcu|sfcu

Set the RTL compile mode. Mode can either be the default of the specified input language, multi file compilation unit (mfcu) or single file compilation unit (sfcu).
(The default value for this option is default.)

-connectByName

<netnamepattern>

Connect matching net by name, i.e. don’t route it.

-createBus

on|off

Create buses for ports with Verilog conform, consecutive numbering.
(The default value for this option is off.)

-createdTop

<name>

Name of the created top sub-circuit.

-createHier

<sep>

Create hierarchy from flat instance names. Split the instance names at the given hierarchy separator. If the given hierarchy separator character is an empty string then the hierarchy separator character is guessed.

-createMacroObjects

on|off

Store macro definition and reference info in virtual objects.
(The default value for this option is off.)

-cse

on|off

Perform common subexpression elimination and merge logic that creates the same functional behavior.
(The default value for this option is off.)

-debugFlag

<flag>

Enable a specific debug flag.

-def

Read the input file as a DEF file.

-define

<macro>

Define a Verilog macro on the command line.

+define+

<m>=<v>

Define Verilog macros on the command line.

-definedevice

<subckt> <type> <portmappings>

Define a subckt to be used as a device. In contrast to -subckt2dev here the port mapping defines the function of the ports.The port mappings consist of a comma separated list of pairs with the primitive port name and the function separated with an equal sign. E.g. -definedevice "nmos_macro" "NMOS" "s=SOURCE,b=BULK,d=DRAIN,g=GATE".

-defParam

<param>=<value>

Define generic value (VHDL only).

-disableHistory

Don’t read history file from home directory.

-display

<dsp>

The name of the X server to use.

-dndButton

left|right

Set drag & drop mouse button.
(The default value for this option is right.)

-dontElaborate

<pattern>

Don’t elaborate modules matching the name pattern.

-duplSubckt

error|warning|ignore|skip

The value error will stop parsing and report an error message. The value warning will report a warning message, create the sub-circuit and continue parsing (the duplicate sub-circuit will be resolved later by the resolve duplicate operator). The value ignore will not report a warning message, create the sub-circuit and continue parsing (the duplicate sub-circuit will be resolved later by the resolve duplicate operator). The value skip will not create the sub-circuit, report an info message and continue parsing.
(The default value for this option is error.)

-edif

Read the input file as an EDIF netlist.

-eldo

Read the input file as an Eldo netlist.

-endLibs

End of library files, design follows.

-evalParams

on|off

Evaluate parameters.
(The default value for this option is off.)

-evalVsource2Input

on|off

Evaluate AC voltage sources to top input ports.
(The default value for this option is on.)

-evalVsource2Power

on|off

Evaluate DC voltage sources to power.
(The default value for this option is on.)

-expand

<circuit>

Expand subckt after parser has finished.

-F

<fileset>

Read Verilog fileset file. The files in the fileset are relative to the fileset file.

-f

<fileset>

Read Verilog fileset file. Files in the fileset are relative to the current working directory.

-forceLibrary

on|off

Input Spice file is interpreted as a library file.
(The default value for this option is off.)

-fullscreen

Display main window in fullscreen mode.

-funcHier

on|off

Create hierarchy for function calls.
(The default value for this option is off.)

-geometry

<geometry>

Specify the initial geometry for main window using the format WIDTHxHEIGHT+XPOS+YPOS.

-globalInclude

<file>

Define global Verilog include files. Global includes are processed before any other source files (this option can be repeated multiple times).

-ground

<node>

Define global ground node (this option can be repeated multiple times).

-groupMultiFinger

<sep>

Group instances with same basename before given separator.

-guessBus

<open> <close>

Guess buses based on net and port names with a bit subscript enclosed in the given 'open' and 'close' characters.

-guessInstArray

<open> <close>

Guess instance arrays based on instance names with a bit subscript enclosed in the given 'open' and 'close' characters.

-guessMacroModels

on|off

Guess macro model devices.
(The default value for this option is off.)

-guessPower

on|off

Guess power/ground nets connected to bulks.
(The default value for this option is off.)

-help | -h

Print a help text with a short description of each option.

-hidePowerPorts

on|off

Hide subckt power/ground ports.
(The default value for this option is off.)

-hierSep

<hiersepchar>

Set the desired hierarchy separator 'hiersepchar' of your choice. Any character can be used. To be able to identify the hierarchy separator, a character that is not already used in an identifier should be used.

-hspice

Read the input file as a HSpice netlist.

-icomment

on|off

Add comments to instances.
(The default value for this option is off.)

-iconify

Start the main window iconified.

-ignoreCaps

<limit>

Ignore (remove) capacitors if the threshold value is lower or equal than the specified number (in Farad, Spice units accepted). Additionally a glob style model name prefixed by 'model:' can be used to ignore all instances of the specified model.

-ignoreCase

on|off

Case-insensitive parser.
(The default value for this option is on.)

-ignoreDuplIncl

on|off

Ignore duplicate includes.
(The default value for this option is off.)

-ignorePragmas

on|off

Ignore all Pragmas.
(The default value for this option is off.)

-ignoreTranslate

on|off

Ignore only Translate and Synthesis Pragmas.
(The default value for this option is off.)

-ignoreUnit

<unit>

Do not elaborate the VHDL unit <unit>; any VHDL unit whose name matches <unit> case-insensitively will not be elaborated.

-incdir

<dir>

Define an include directory (this option can be repeated multiple times).

+incdir+

<d1>+<d2>…​

Define include directories.

-info

None|Error|Warning|Verbose|Debug

Level of verbosity for issued messages.
(The default value for this option is Error.)

-initialDirectory

<directory>

Use this initial directory for the file dialog.

-inlineParasitic

on|off

Merge the content of parasitic modules into the design.
(The default value for this option is off.)

-L

<library>

Search for Verilog modules and packages in <library>; multiple libraries can be specified using multiple -L options; libraries are searched in the order of the -L options.

-lef

Read the input file as a LEF file.

-liberty

Read the input files as a Liberty library.

+libext+

<e1>+<e2>…​

Define the file name extensions for the -y option.

-library

<name>

Parse all files following this option into a library with the given name.

-logfile

<file>

Generate log file.

-ltspice

Read the input file as an LTSpice netlist.

-maxErrCnt

<num>

Set maximum number of errors that can occur before the parser stops reading the input file(s).
(The default value for this option is 0.)

-mergeParallel

on|off

Merge parallel transistors into one.
(The default value for this option is off.)

-mergeParallelCap

on|off

Merge parallel capacitors into one.
(The default value for this option is off.)

-mergeParallelDiode

on|off

Merge parallel diodes into one.
(The default value for this option is off.)

-mergeParallelEquality

<variation>

Variation to treat device values as equal for the merge parallel options (enter a value between 0.0 and 1.0).
(The default value for this option is 0.10.)

-mergeParallelRes

on|off

Merge parallel resistors into one.
(The default value for this option is off.)

-mergeSerial

on|off

Merge serial transistors into one.
(The default value for this option is off.)

-mergeSerialCap

on|off

Merge serial capacitors into one.
(The default value for this option is off.)

-mergeSerialRes

on|off

Merge serial resistors into one.
(The default value for this option is off.)

-minRamSize

<min>

Minimum size (in bits) a RAM needs to be before RTL elaboration extracts it. Value 0 means no lower limit.
(The default value for this option is 4096.)

-multi

<M>

Name of the multiplier attribute for parallel devices (default is "M").

-negPower

<node>

Define global negpower node (this option can be repeated multiple times).

-node

<subckt> <node> <type>

Define input/output or power/ground node per subckt.

-operContents

on|off

Create operator implementation.
(The default value for this option is on.)

-pedantic

on|off

Toggle pedantic language checking mode (in relaxed mode some errors are just warnings and some warnings are suppressed).
(The default value for this option is on.)

-pluginDir

<dir>

Specify plugin directory.

-power

<node>

Define global power node (this option can be repeated multiple times).

-preserveAssign

on|off

Preserve assignments in the netlist.
(The default value for this option is off.)

-procHier

on|off

Create hierarchy for always and process blocks.
(The default value for this option is off.)

-profile

Enable profiling of the GUI and ZDB API.

-project

<file.vpj>

Use options from the given project.

-pspice

Read the input file as a PSpice netlist.

-pwrProp

down|stop|off

Control power propagation of the Spice parser. Propagation of power can be turned off, go all the way down the hierarchy or stop if the name of the power node changes.
(The default value for this option is down.)

-quickMode

Open a zdb binfile in quick mode.

-readDSPF

Read the input file as a DSPF netlist.

-readSPEF

Read the input file as a SPEF netlist.

-recognize

on|off

Recognize transistors that form a gate.
(The default value for this option is off.)

-recognizeBox

on|off

Create box for non recognized groups.
(The default value for this option is off.)

-recognizeCreateSupply

on|off

Create supply ports on recognized gates.
(The default value for this option is off.)

-recognizeFold

nofold|fold|autofold|noflat

Fold recognized gates using one of the following methods: Use 'nofold' to disable folding, use 'fold' to fold all recognized gates, use 'autofold' to fold only recognized gates with equal attribute values and use 'noflat' to fold all recognized gates but do not create flat attributes.
(The default value for this option is autofold.)

-recognizeNoPar

on|off

Do not recognize gates with transistors in parallel paths.
(The default value for this option is off.)

-recognizeNoTran

on|off

Do not recognize transfer gates.
(The default value for this option is off.)

-reduceInvChain

on|off

Replace odd number of INVs in a chain by one INV and remove an even number of INVs in a chain.
(The default value for this option is off.)

-removeBuffer

on|off

Remove all BUF and WIDE_BUF instances and merge the connected nets.
(The default value for this option is off.)

-removeCap

on|off

Remove capacitors connected to only one net.
(The default value for this option is off.)

-removeEmptyModule

on|off

Remove empty modules.
(The default value for this option is off.)

-removeLead

chars

Remove leading chars from inst names.

-removeMos

off|on|useless

Remove spurious MOS transistors (e.g. if the gate pin is connected to power/ground, if all pins are dangling or shortened, etc.). on mode is more aggressive, while the useless mode is more conservative and produces warning messages instead of removing transistors in some cases.
(The default value for this option is off.)

-removeRes

on|off

Remove resistors connected to only one net.
(The default value for this option is off.)

-resolveDuplicates

on|off

Resolve duplicate cells. If "off" all duplicate cells are renamed.
(The default value for this option is on.)

-sdf

<file.sdf>

Specify a SDF file to attach.

-sdfTop

<topname>

Specify the name of the design under test.

-shortRes

<limit>

Ignore (short-cut) resistors if the threshold value is lower or equal than the specified number (in Ohm, Spice units accepted). Additionally a glob style model name prefixed by 'model:' can be used to ignore all instances of the specified model.

-spectre

Read the input file as a Spectre netlist.

-spice

Read the following file as a HSpice file if no other spice dialect is specified.

-spice2

Read the input file as a Spice2 netlist.

-spice3

Read the input file as a Spice3 netlist.

-spiceDialect

spice2|spice3|pspice|hspice|calibre|cdl|spectre|eldo|ltspice|dspf|spef

Set the Spice dialect.
(The default value for this option is hspice.)

-spos

on|off

Create source code references.
(The default value for this option is on.)

-subckt2dev

<subckt> <type>

Define a subckt to be used as a device. Ports must match the sequence defined for the function. E.g. -subckt2dev "nmos_macro" "NMOS".

-sym2zdb

Preload symbol library file(s) given with the -symlib option.

-symlib

<symlib>

Specify a symbol library file.

-systemVerilog | -sysverilog | -sverilog | -sv

Read RTL SystemVerilog 2009.

+systemverilogext+

<e1>+<e2>…​

Define SystemVerilog file name extensions.

-sysVerilog2005

Read RTL SystemVerilog 2005.

-tempDir

<dir>

Specify a directory for temporary files.

-threeTermDev

<pat>

Define three term devices.

-time

Print CPU time consumption (requires enabled progress updates).

-title

<toolname>

Display the given toolname in the titlebar.

-top

<name>

Define this module as the top module. If * is set, then all unreferenced cells are used as top.

-topLibrary

<name>

The library containing the top-level design.

-userware

<file>

Load Userware (source a Tcl file).

-userware2

<file> <arg>

Load Userware with one argument in argv.

-userware3

<file> <arg1> <arg2>

Load Userware with 2 arguments in argv.

-userwareArgs

<file> <argList>

Load Userware with a list of arguments in argv. On the command line the list needs to be one quoted argument which will be expanded before it is passed to the specified Userware script.

-userwareEval

<script>

Evaluate Userware following in next argument. On the command line the list needs to be one quoted argument which will be evaluated as a Userware script.

-v

<libfile>

Read <libfile> as Verilog library file.

-verilog

Read Verilog netlist.

+verilog1995ext+

<e1>+<e2>…​

Define Verilog 1995 file name extensions.

-verilog2001 | -v2k | +v2k

Read RTL Verilog 2001.

+verilog2001ext+

<e1>+<e2>…​

Define Verilog 2001 file name extensions.

-verilog95

Read RTL Verilog 95.

-verilogAMS

Read RTL Verilog AMS.

-version

Print the tool version.

-vhdl2000

Read RTL VHDL 2000.

-vhdl2008

Read RTL VHDL 2008.

-vhdl2019

Read RTL VHDL 2019.

-vhdl87

Read RTL VHDL 87.

-vhdl93

Read RTL VHDL 93.

-vhdlLibPath

<dir>

Look for and store precompiled VHDL libraries in <dir>.

-wait_for_license | -waitForLicense

<sec>

Wait 'sec' seconds for a license. If the value is -1 then the started tool will not wait for the next free license. Use a value of 0 to wait forever.
(The default value for this option is -1.)

-wdb | -vcd

<file.vcd>

Specify a VCD or WDB file.

-wdbCreateVectors | -vcdCreateVectors

on|off

Create vectors based on scalar names.
(The default value for this option is off.)

-wdbNameOfDUT | -vcdTop

<path>

The scope name of the design under test.

-wdbPathToDUT | -vcdTop

<path>

The scope path which needs to be removed before before the remaining path will be matched against the design. The first name of the remaining scope path will be matched against top module names of the design. If there is only one top module the scope name need not to match

-workspace

<file.ws>

Use options from the given workspace.

-y

<libdir>

Read files matching the extension given with +libext+ from the specified directory as Verilog library files.

Pane Window

The Pane window is a container for a Tab group. In the built-in default GUI layout there are only two Pane windows. Additional Pane windows can be created on demand using the split icons in the tab pane. From the Window menu new toplevel Pane windows can be created.

StarVision PRO Visualizer

Tab Group

Left Tab

The tab group on the left side provides fast access to the Tree and Mem.

Right Tab

The tab group on the right side provides fast access to Schem, Cone and Source.

Click on the tab’s name to bring its window to the front (activate it).

Additional tabs can be added using the special "+" tab.

The Tree Window

The Tree window displays the design hierarchy.

Tree window

The Tree widget supports two different view types.

The module based view show a folded tree sorted and grouped by modules. Modules that are instantiated several times display only one instance, i.e. the hierarchy tree is "folded". Such modules have a small left to right arrow to indicate that it is instantiated multiple times.

To open the module’s instantiation list click on the down-arrow at the end of the line. To select one specific instance, click on the instance name in the drop down list.

The instance based view show each module instance and sort the tree by instance names.

Each tree node of a hierarchical module instance in the tree can contain up to six sub-entries to group the module contents. The "Ports" and "Nets" entries allow for quick access to all ports, portBuses, nets and netBuses. The "Primitives", "Registers" and "Operators" entries group primitive instances by its function and type. Instances can be grouped in a user defined custom group by flagging instances with the group flag.

Modules with parameters have an additional entry "Parameters" which lists the parameters and their respective values.

The default action in the context menu of the tree is Current Module, i.e. if you double-click on a module in the tree, it will become the 'current module' and it will be loaded and displayed in the Schem window. The Schem window’s tab will be activated, if not already open.

The Memory Window

The Mem window can be used as a notepad.

Memory window

You can Drag & Drop any object to and from the Mem window.

Objects that are currently highlighted will be displayed in the corresponding highlight color.

Invalid entries, i.e. those who cannot be matched to a valid OID in the current database are grey and disabled.

The picture above shows a Mem window that contains 9 valid objects, 3 of them are highlighted in different colors.

The default action of the context menu in this window is Goto, i.e. the selected object is temporarily highlighted in each window (the 'current module' as displayed in the Tree window may change).

The Schematic Window

The Schem window displays a complete module (split into pages).

Schem

The Bookmark feature in the toolbar can be used to save/restore the current schematic view. The default name of a bookmark (created using an unique number and the module name) can be changed.

If the module is larger than the page size you selected in Preferences it must be split into different pages. Splitting is done automatically. See below, how to change between the different pages. If the module to be displayed exceeds the limit set in Preferences, a dialog box is presented.

Big Module Warning

The Schem window and Cone window have many functions in common. The table below explains some of the common features and later the Schem window specific part.

The stroke mouse button depends on the setting of the Drag & Drop mouse button. It is always the opposite, e.g. if Drag & Drop is bound to the right mouse button, then the strokes are bound to the left mouse button and vice versa.

Action Info Keyboard(*)

Schem- & Cone window common functions

zoom in

Zoom In

Use the stroke mouse button to drag a rectangular (zoom) area. Start dragging from top left corner and stop dragging in the bottom right corner of the area to be zoomed in.
You can also use the mouse wheel while the Ctrl key is pressed to zoom in.

I

zoom out

Zoom out

Use the stroke mouse button to drag a line in top right direction. The longer the line the higher the zoom-out factor will be. You can see the zoom factor while dragging; minimum zoom factor is 0.5, maximum is 3.
You can also use the mouse wheel while the Ctrl key is pressed to zoom out.

O

zoom fit

Zoom Fit

Use the stroke mouse button to drag a line in bottom left direction. The schematic will be zoomed to fit completely into the current window. The zoom factor is automatically adjusted whenever the window size changes.

F

regenerate

accessible via context menu - it will regenerate the displayed module or schematic excerpt.

Shift+R

optimize

accessible via context menu - optimize the displayed module: keep the placement of ports and instances, but optimizes the net routing.

Shift+O

select single objects

Select Single Objects

Single-click any visible object in the window with the left mouse button. Selected objects have a thicker borderline.

none

select multiple objects

Select Multiple Objects

Keep the Ctrl-key pressed while single-clicking any visible object in the window with the left mouse button. If the object was already selected, it will become deselected.

none

select multiple objects

Keep the Shift-key pressed while dragging the mouse with the stroke mouse button pressed down to open a rectangular area.
Depending on the direction different object types within the area will be selected when releasing the mouse button.
Mouse Select All Objects
A rectangle to the bottom right will select objects of all types.
Mouse Select Instance Objects
A rectangle to the bottom left will only select instance objects.
Mouse Select Net Objects
A rectangle to the top right will only select net objects.
Mouse Select Pin/Port Objects
A rectangle to the top left will only select pin or port objects.

none

highlight

accessible via context menu - it will highlight the current selection.

Ctrl+H

unhighlight

accessible via context menu - it will unhighlight the current selection.

Ctrl+Shift+H

change page

Change Page

If there are multiple schematic pages then a spinbox appears in the top left corner of the window. The up and down buttons allow you to navigate to the previous and next schematic page. A label left to the buttons shows the current page and the total number of pages. A click into the page field opens a listbox to provide fast access to each page.

PageUp PageDown

Greymode button

The Greymode icon will toggle "greymode". The complete displayed schematic will be grayed out, except for the highlighted components.

none

Minimap button

Minimap example

The Minimap icon will toggle the visibility of a Minimap window in the bottom right corner. Once the Minimap window is visible it can be moved within the window.

none

Magnify button

The Magnify icon will toggle the visibility of a Magnify window in the bottom left corner. Once the Magnify window is visible it can be moved within the window.

none

Analog layout toggle button - On Analog layout toggle button - Off

The Analog layout button will toggle the analog layout.

none

inst drag

Inst Drag1

Inst Drag2

Keep the Ctrl-key pressed while dragging the mouse in any direction with stroke mouse button (opposite of the Drag & Drop button) pressed down to move one or more components (inst, port, portBus or page connectors) or a net object (net or netBundle) to a new location and update the net routing. For net objects only the y position inside the component column (level) can be changed. Multiple components can be dragged by selecting them first and then start dragging at one of the selected components. A draft version of the moved component(s) is drawn while being moved. The echo of the component’s symbol shape identifies that the target location is valid.
Dragging of device instances is ignored.

none

Schem window specific functions

hierarchy up

Hier Up

Use the stroke mouse button to drag a line in top left direction. The instance we are coming from will be selected in Schem window.

none

hierarchy down (default)

A double-click on a hierarchical (non-primitive) instance will load its down-module in the Schem window.

none

Nethide button

The Nethide icon will toggle the hide flag at all displayed nets.

Hidden nets are not routed through the schematic page but only displayed as stubs (with a dot at the end) at all connected pins, which allows to select the net object. Each selected hidden net is drawn with a zigzag path to all connected pins. A double click on a stub displays and routes the net. A double click on a displayed net will set the hide flag and the net disappears from the schematic view.

none

Note

For the keyboard shortcuts to work properly, the Schem window must have the focus. Use the Tab-key to change the focus or click into the Schem window to transfer the focus.

Connectivity Lens

Connectivity Lens

The Connectivity Lens is an inline window accessible from the Popup menu of the Schem or Cone window to display detailed connectivity information for the selected pin or port. There can only be one Connectivity Lens window on a schematic page.

If the selected object is a bus then all single-bit connections (from the msb to lsb) are listed, while grouping adjacent pins into sub-buses if that is possible without any ambiguity. One condition for this grouping is that the adjacent pins can form a regular bus name. For example, A[3], A[2] and A[1] qualify to form the regular bus name A[3:1].

Small triangles indicate the logical signal flow direction from the driver (e.g. output pin) to its receiver (e.g. input pin).

The Cone Window

The Cone window displays schematic excerpts (paths, cones, etc.) and is used for Incremental Schematic Navigation.

Cone

Its behavior is pretty much the same as the Schem window but it allows for interactive incremental disclosure of the schematic, even across hierarchy borders (giving a kind of "flat-view" on the database).

The Bookmark feature in the toolbar can be used to save/restore the current view of the Cone window. The default name of a bookmark (created using the term Bookmark followed by an unique number) can be changed. In addition the Cone window provides a second, file based, snapshot mechanism accessible through the Popup menu.

The following table explains the functions that only apply to the Cone window. For general functions like, e.g. zoom, selection or highlighting, see the table in the Schem window section.

Action Info Keyboard(*)

Cone window specific functions

add objects

To add a component into the Cone window, use the Drag & Drop feature. You can drop any valid database object into the Cone window. Dropping one or more database objects, will cause it to be loaded (if not already visible) and centered under the mouse cursor. Dropping pins will cause the corresponding instance to be loaded.

none

remove objects

To delete one or more components from the Cone window, select it and choose 'Remove' from the context menu. Deleting instances that contain other objects cause them to be removed, too.

Del

remove all objects

To delete all components from the Cone window, choose 'Clear' from the context menu.

Ctrl+Del

more (default action)

Cone More

To incrementally extend the schematic excerpt, double-click with the left mouse button on any component you see in Cone window:

Nets & NetBuses are displayed in dashed style to indicate that they are not completely loaded; "more" will load more components connecting to that Net/NetBus until the Net is complete. It then turns into solid-style.

Ports & PortBuses as well as Pins & PinBuses can also be double-clicked on. It causes the corresponding net(s) to be loaded or extended (see item above), if it is already loaded.

PinBuses & PortBuses can be double-clicked on with Ctrl-key pressed. A small dialog pops up that allows you to select the subpin or subport.

Pins & Nets can also be double-clicked on with Ctrl-key pressed. A small dialog pops up that allows you to select the component to load. Also all connected components can be loaded.

none

autohide on

Autohide On

Double-click with the left mouse button on the border of an instance to turn the autohide feature 'on'. Autohide causes (temporarily and permanently) unconnected instance pins to be hidden automatically (until they are connected to a 'loaded', i.e. visible, net). Alternatively, you may also set the 'Hide Unconnected Pins' option either in the toolbar of the Cone window or in the Cone tab of the Preferences dialog.

none

autohide off

Autohide Off

Double-click with the left mouse button on the border of an instance to turn the autohide feature 'off'.

none

fold

Cone Fold

The content of the selected hierarchy boxes is hidden thus the boxes look empty. This can be quite useful after a cone extraction to reduce the amount of details displayed in cone.
A folded hierarchy box is locked, the hierpins are not displayed.

Ctrl+F

unfold

Cone Unfold

The content of the selected folded hierarchy boxes is shown again.

Ctrl+U

Extract to Driver/Load

Extract to Driver Extract to Load

If a pin or port is selected in the Cone window, the Extract to Driver/Load button in the toolbar lets you quickly extract the cone towards the driver/towards the loads. Note that the toolbar button changes its icon depending on whether an input or an output port/pin is selected.

none

Expand Nets

Expand Nets

Expand all partially loaded nets and netBuses (which are shown with dashed lines).

none

Nethide button

The Nethide icon will toggle the hide flag at all displayed nets.

Hidden nets are not routed through the schematic page but only displayed as stubs (with a dot at the end) at all connected pins, which allows to select the net object. Each selected hidden net is drawn with a zigzag path to all connected pins. A double click on a stub displays and routes the net.

none

History

History Previous History Next

If the "Remember History" check-button is enabled then the two buttons in the top right corner of the Cone window allow you to move back and forward in the history of the Cone window.

none

Bookmark

The Bookmark feature allows to save the current Cone window content as a Cone bookmark and restore it afterwards.

Ctrl+D

Snapshot

The Snapshot feature allows to save the current Cone window content as a Cone snapshot and restore it afterwards. Snapshots are saved to files that can later be loaded or manually copied to another machine for review (even without the design database loaded). The context menu has a separate sub-menu (called 'Snapshot') for the snapshot functions save and open.
Snapshot are plain text files; they have the extension .bm5.

Ctrl+S
Ctrl+Shift+O

Save Cone as Spice

The contents of the Cone window is saved as a Spice file.
The Save Cone as Spice feature is available through the context menu of the Cone window.

Ctrl+Shift+E

Save Cone as Verilog

The contents of the Cone window is saved as a Verilog netlist.
The Save Cone as Verilog feature is available through the context menu of the Cone window.

Ctrl+Shift+S

Cone Extraction Dialog

Cone Extraction dialog

The Cone Extraction dialog is accessible either through the Tools main menu (Tools  Extract Cone) or the context menu of the Cone window. If invoked from the Popup menu then the selected object is used as the start point. Drag & Drop can also be used to define the start object.

Using the Cone Extraction dialog, you can search for all paths from the given start point to all reachable targets either towards the inputs or towards the outputs.
[extract:dir]

If the option Individual Paths is enabled, the search result is returned as a list of individual paths, if the option is disabled, the complete cone is returned, which is a summary of all paths.
[extract:path]
[extract:pathLimit]

Usually a blackbox instance is a stop cell. If the option "Do not Stop at Blackbox" is enabled then all unknown pins are treated as bidirectional and the extraction goes through the cell in all directions.
[extract:unknown2IO]

The cone extraction would stop at empty modules, because there is no path through the module. With the option "Treat Empty Modules as Primitives", an empty module can be treated as a primitive and the extraction will not dive into it, but continue at all pins of the module instance. [extract:emptyModAsPrim]

The cone extraction can stop at the following targets:

  • Top-Level I/O Ports - run the extraction to all top-level I/O ports.
    [extract:targetIO]

  • Clocked Cells - stop at all clocked cells.
    [extract:clkCell]

  • Circuit Loops - stop at a loop in the circuit.
    [extract:targetLoop]

  • Power/Ground (Constant Values) - stop at supply nets or nets with a constant value.
    [extract:targetPGNet]

  • Any Instance of the Following Cells - all instances of the specified cells are targets. Use Drag & Drop to specify a list of cell names.
    [extract:targetCells]

  • Any of the Following Individual Object - a specific object is the target. Use Drag & Drop to specify a list of possible target objects.
    [extract:targetOIDs]

The search result is shown in the Result list of this dialog. The list of paths is sorted by path depth (the shortest path is the first). A double click in the result list loads the path or cone to the Cone window. Use the "Append to Cone Window" option to keep the previous contents in the Cone window loaded.
[extract:appendResult]

Using Drag & Drop always appends a path to the Cone window.

Save Cone

Save Cone as Spice
Save Cone as Spice

The contents of the Cone window can be saved as a Spice file. The Export Spice feature is available either through the Tools main menu (Tools  Save Cone as Spice) or the context menu of the Cone window.

In a dialog window similar to the image above, you get a detailed Connectivity Report of the Cone fragment you want to export. You can drag objects from the report window to the Cone window. In the Cone window the object is highlighted using the goto color.

If you are satisfied with the report, then enter a filename and press the Export button to export the contents of the Cone window as a Spice netlist.

If the option "Create Hierarchical Netlist" is enabled, a hierarchical Spice netlist will be created.

The option "Common Cells for Empty or Full Sub-Circuits" controls whether subckts are instantiated multiple times or not.

The option "Create Ports for not Connected Pins" will add an extra level of hierarchy with interface ports for all not connected pins in the top hierarchy. This extra sub-circuit is instantiated in the design top.

The option "Create all Top Ports" will create all top-level I/O ports of the design top regardless of the visibility in the Cone window.

The option "Create Unconnected Module Ports" will create all sub-circuit ports visible in the Cone window even if they are not connected.

The option "Auto Connect Hidden Supply Pins" will automatically connect all supply pins that are hidden and thus not displayed in the Cone.

The Export Spice function is disabled in a Cone window with a parasitic filter attached.

Save Cone as Verilog
Save Cone as Verilog

The contents of the Cone window can be saved as a Verilog file. The Save Cone as Verilog feature is available either through the Tools main menu (Tools  Save Cone as Verilog) or the context menu of the Cone window.

In a dialog window similar to the image above, you get a detailed Connectivity Report of the Cone fragment you want to export. You can drag objects from the report window to the Cone window. In the Cone window the object is highlighted using the goto color.

If you are satisfied with the report, then enter a filename and press the Export button to save the contents of the Cone window as a Verilog netlist.

If the option "Create Hierarchical Netlist" is enabled, a hierarchical Verilog netlist will be created.

The option "Common Cells for Empty or Full Modules" controls whether modules are instantiated multiple times or not.

The option "Create Ports for not Connected Pins" will add an extra level of hierarchy with interface ports for all not connected pins in the top hierarchy. This extra module is instantiated in the design top.

The option "Create all Top Ports" will create all top-level I/O ports of the design top regardless of the visibility in the Cone window.

The option "Create Unconnected Module Ports" will create all module ports visible in the Cone window even if they are not connected.

The option "Auto Connect Hidden Supply Pins" will automatically connect all supply pins that are hidden and thus not displayed in the Cone.

If the option "Create Named Connectivity" is enabled then named connectivity will be created in the generated Verilog netlist. Otherwise implicit connectivity will be created.

If the option "Add Function Implementation" is enabled then the primitive function of cells with a known function is implemented (this can be used by a synthesis tool or to run a simulation).

Source Window

The Source window displays the source code of the input files used to generate the schematic.

The screenshot shows an example source file loaded in the Source window; several objects have been highlighted.

Source window

Highlighting

All highlighted objects will be displayed in their corresponding highlight color for easy cross probing.

The Toolbar

The Source window’s toolbar offers several actions:

  • The Bookmark feature can be used to save/restore line positions in the displayed source file. Bookmarked lines are marked with a black flag icon before the line number. The default name of a bookmark (created using the filename and line number) can be changed.

  • The Find in file button opens a panel for incremental text search within the displayed source file and across all files of the current project.

  • Goto line jumps to the entered line number in the current file.

  • The Sourcefile drop-down list shows the currently displayed file and allows for selecting other files to display.

  • The Open Editor button executes an external editor, loads the source file and scrolls to the first line displayed in the Source window. If there is either an object marked by the Goto function or a line marked by "Goto Line" then this information is used as the start line passed to the editor. You may specify the editor in Source tab of Preferences dialog.

  • The history buttons allow for quick navigation to previously visited source file positions.

The Action Bar

If an object is selected in the Source window, the Action Bar opens below the object, offering object specific information and navigation actions.

Depending on the type of selected object, the following information/actions are available:

Modules

  • up goes 'up' to the current instance of the module.

  • A list of instances.

  • Show goes to the selected instance.

Instances

  • down goes 'down' to the corresponding module.

Ports/Port Buses

  • up goes 'up' to the corresponding pin/pin bus.

Pins/Pin Buses

  • down goes 'down' to the corresponding port/port bus.

Nets/Net Buses

  • left and right go to the previous/next occurrence of the selected net.

  • driver goes to the net’s 'driver'; if multiple drivers exist, multiple drivers is shown.

  • A drop-down list of connected pins/ports; if the object is a 1-to-1 connected net bus, the connected pin buses/port buses are shown; if the object is a ripping net bus, pins/ports connected to the individual bus members are shown.

  • Show goes to the selected pin/port.

Drag & Drop

The Source window supports Drag & Drop: if one or more objects are dropped into the Source window, then the tool will scroll to the closest line number (relative to the currently displayed source file) of any dropped object and selects it. You can also drag objects from the Source window to the other windows (e.g. Schem or Cone window).

The Search window can be used to search the current database for certain components.

The Search window can be opened by clicking the Toolbar Icon for search icon in the toolbar or by selecting Window  Search from the main menu.

Simple Search Mode

Search window

The simple mode of the Search window is composed of a combobox for selecting the Object Type to search for, a text entry field for entering a glob-style search pattern, and a Search button.
[Search:type], [Search:name]

The Search button (or the Enter key in the search pattern field) starts the search and searches for all database objects matching the entered pattern in all levels of hierarchy.

A long running search may be terminated by clicking the Stop button of the progress dialog.

The result list displays matching objects along with their type.

The default action in the context menu of the result list is Goto, i.e. if you double-click on a result entry and you have the Schem window open, its parent module will become the 'current module' and the result object is selected.

Advanced Search Mode

The Search window also provides an advanced mode that can be activated by clicking on the Advanced Search icon. The advanced search allows for a more precise specification of the search parameters and usually results in a better search performance than the "simple mode".
[Search:advanced]

Search window (Advanced)

Object Type

As in the "simple search mode", the object type option can be used to restrict the search to specific types of objects.
[Search:type]

Name Pattern

A search pattern for the object’s name. This does not include the object’s path.
[Search:name]

Search Mode

  • Entire Design - search in the whole data base, glob-style characters in the path pattern also match hierarchy separators.

  • Specific Hierarchy - search in the whole data base, glob-style characters in the path pattern don’t match hierarchy separators. [Search:mode]

Path Pattern

A search pattern for the object’s path.

You may drop objects of type "module", "primitive", and "inst" into the entry field to populate it with the path of the dropped object.
[Search:path]

Top Pattern

A search pattern for the object’s top module.
[Search:top]

Hiersep

Specify the hierarchy separation character used in the path pattern, e.g. if the path pattern is top/cpu/adder, use / as the hiersep character.
[Search:hiersep]

Cell Pattern

When searching for instances (⇒ Object Type is Inst or Any), this option restricts the list of reported instances to instances of the specified cell type, e.g. if Cell Pattern is cpu, only instances of the cpu cell are reported. If you use glob-style patterns (e.g. and*) only instances of cells with a cell name matching this pattern are reported.

When searching for pins/pinbuses (⇒ Object Type is Pin/PinBus or Any), only pins/pinbuses of instances instantiating a matching cell are reported.

When searching for ports/portbuses or nets/netbuses (⇒ Object Type is Port/PortBus/Net/NetBus or Any), only ports/portbuses/nets/netbuses of a matching cell are reported.

You may drop objects of type "module", "primitive", and "inst" into the entry field to populate it with the cell name of the dropped object.
[Search:cname]

Case

  • smart - automatically select case insensitivity if the search pattern contains no uppercase characters. Otherwise search case sensitive.

  • insensitive - ignore upper/lower case of characters in search patterns.

  • sensitive - search case sensitive.
    [Search:case]

If this option is enabled, special characters in patterns (*, ?, [, ], …​) are interpreted literally instead of being used for glob-style wildcard matching.
[Search:exact]

Wildcards / Glob-Style Patterns

If the Exact Search option is disabled, search patterns are interpreted as glob-style patterns (similar to file name patterns in UNIX shells):

  • * - Matches any sequence of characters including an empty string.

  • ? - Matches a single character.

  • [ABC] - Matches any character in the given set.

  • [a-z] matches any character in the range a-z.

Examples

  • d* matches any string starting with d: d, d1, diode, …​

  • md[0-9] matches md0, md1, …​, md9.

  • m[A-Z]5 matches mA5, mB5, …​, mZ5 in case sensitive search mode, it will also match ma5, mb5, …​, Ma5, MA5, …​ in case insensitive search mode.

General Hints

The Parasitic Window

Add Parasitic Files

Parasitic Files can be provided via the Attach Parasitic dialog. This dialog is accessible through the File  Open  Attach Parasitic menu or the Attach Toolbar Icon for Attach toolbar icon. Supported parasitic file formats are Detailed Standard Parasitic Format (DSPF) and Standard Parasitic Exchange Format (SPEF).

Attach Parasitic dialog

The Parasitic window will display the detailed RC network of one or more nets.

Alternatively the Read Spice dialog can be used to open Parasitic files.

Show Parasitics

The Parasitic window displays the detailed parasitics of one or more nets.

Parasitic window

To load one or more nets into the Parasitic window either right click on a net and select Load Parasitic from the popup menu. Each Load Parasitic as described above will clear the contents of the Parasitic window before the net is loaded.

To append more nets to the already displayed RC networks the Append Parasitic option from the popup menu can be used. Using Drag & Drop will also append the RC network of the dropped net.

The functionality of the Parasitic window is similar to the Cone window. Many functions are common, e.g. a double click on unconnected pins will extend the view and incrementally add new nets.

From the popup menu the fragment viewed in the Parasitic window can be saved as a Spice netlist including all the parasitics.

The Wave Window

The Wave window displays the waveform from a VCD file. The Waveform viewer can be opened with the Toolbar icon for the Wave window icon in the toolbar or with Window  Waveform from the main menu.

Wave window

Open Wave Files

Within the Wave window, the open a waveform file Wave toolbar icon to open a waveform file icon can be used to open a VCD or WDB file.

Open Wave Database

The Open Wave Database dialog has the following options:

  • Waveform File: The waveform database file to open (.vcd or .wdb).

  • Keep Wave Window State: When reloading the previous waveform database file, try to keep the current state of each Wave window (displayed signals, time range, etc.)

  • Open Wave Window: Open the Wave window after reading the file.

  • Create Vectors: Build groups based on scalar names with the same basename and a consecutive bit subscript.

  • Top: Instantiation path to the Design Under Test (DUT).

  • Create Hier: Convert a flat signal name containing the given hierarchy separator into a list of instance names and the signal name.

  • Start Time: Ignore value changes before this time.

  • End Time: Ignore value changes after this time.

Note
When loading a RTL design it is recommended to disable the Compact Schematic Option in the Read File dialog to get a better match between the design signals and the VCD signals.

Load Signals

The waveforms can be shown either by using Drag&Drop or by double clicking on the signal name in the signal selection window. Signals that could not be matched to the loaded design appear grayed out in the signal selection window. Below the signal selection window there is a search field. Entering a search term here filter all available signal names to the ones containing the search term (case insensitive). The waveform of the grayed out signals can be displayed but these signals cannot be dropped to other views in the GUI.

With this Clear Wave window icon icon the Waveform window can be cleared. Signals from an entire module can be displayed by dropping the module name to the Waveform window. The order of appearance of the signals can be changed with Drag&Drop.

Zoom Operation

To get an overview of the value changes of the loaded wave signals the zoom fit Zoom fit icon icon can be used. To adjust the zoom factor use either the zoom out Zoom out icon or the zoom in Zoom in icon icon. Zooming can also be done with the mouse wheel plus the pressed Ctrl key. Using the stroke mouse button a time region of interest can also be selected.

Time Marker

Moving the mouse within the wave area shows the time of the cursor location in grey color. By clicking within the wave area the time marker (in light red color) is set. The values of all signals are written with yellow background at the Marker location. In the Schem, Cone and Source window these values are also shown. The displayed values are always updated when setting a new marker position. The radix of the shown values can be changed between hexadecimal, decimal, binary or octal. If the time marker is set to a transition, then either a rising or falling edge icon is displayed as the value to indicate the transition type.

The time marker and with it the value display can be removed by clicking into the red field in the timeline.

Jump to Previous and Next Value Changes

With the first time position first time position icon and last time position icon icons the cursor can be moved to the start or end of the timeline. The previous value change previous value change icon and next value change next value change icon icons jump to the next or previous value change of the selected signal(s). Alternatively use the keys p or n. If no signal is selected, the cursor jumps to the previous/next value change of all loaded signals.

Time Cursor

Clicking into the narrow line at the bottom of the wave area, a cursor can be set. The cursor labels can be edited to represent their meaning in your design. These cursor marks can be removed again by clicking their label in the timeline.

Signal Grouping

Clicking on signal names with Shift or Ctrl keys pressed will select some signals. With the popup Group  Create/Move Group they can be copied/moved to a group. The Group name can be edited. The value of this new group is shown as if the group members would form a bus. The group members can be dragged to a custom order.

Groups can also be renamed or removed with the popup menu.

Time Fields

The From and To fields reflect the displayed time range. They can be edited with the suffixes p, n, u, m, s for pico-, nano-, micro-, milliseconds or seconds. If the time unit is omitted, the number is interpreted as the number of time steps.

To go to the beginning of the time range, just enter a zero.

To go to the end of the time range, just enter end.

In the field named Goto Time a time with the same units as before can be entered.

To use calculated time spans, click to a reference point to set the red marker. From here one can go a calculated time span forward or backward by pressing the Ctrl key while dragging the mouse in negative or positive direction.

Bookmarks

From any view a bookmark can be added with the add bookmark Add Bookmark icon icon. The proposed name can be changed by simply overwriting it. A bookmarked view can be recalled anytime or deleted with the delete bookmark Delete Bookmark icon icon.

Working with the Cone Window

To debug a path that is loaded to the Cone window, the Get path from Cone icon button ("get all signals from the path displayed in the Cone window") can be used to transfer all the loaded signals to the Wave window. As before, the value representations can be seen in the Cone window when setting the time marker.

The Analog Wave Window

The Analog Wave window is a waveform viewer specialized for visualizing and analyzing Spice simulation results.

The Analog Waveform viewer can be opened with the Toolbar icon for the Analog Wave window icon in the toolbar or with Window  Analog Wave from the main menu.

Analog Wave window

It facilitates the analysis of analog circuits by allowing to explore as well it’s structure as it’s electrical behavior.

Voltage values on a waveform cursor can be annotated to the nets shown in a schematic window.

Open Simulation Results

Use the file open icon in the Analog Waveform window to open a Spice simulation result.

To make sure that Spice netlist components can be interpreted as curve names, a mapping between circuit instances and the instances defined in the Spice output has to be defined. If possible, this mapping is computed automatically. However in some cases the automatic computation might fail. In this case a dialog shows up to let the user define this mapping manually. The mapping contains two fields. The "circuit instance" defines the elements to remove from a circuit component’s name. The "curve instance" defines the elements to add to this name.

For example the "circuit instance" might be defined as "TOP X1" and "curve instance" as "X2.X5". The circuit component {net TOP X1 X3 Vout} becomes "X3 Vout" by removing "TOP X1" and then "X2.X5.X3.Vout" by adding "X2.X5". As the circuit component is a net, the Analog Waveform viewer will try to find the voltage V(X2.X5.X3.Vout) and plot it if possible. The hierarchical separator used for the "circuit instance" is a space. The separator used for the curves is a dot. The inverse procedure is used to map curve names to circuit component names. The current mapping can be examined by dragging a curve or a netlist component to a text editor or terminal window. The mapping dialog is also shown if the item "Define Mapping To Schematic" is selected from the context menu in the analog waveform browser. This menu item appears only for a top level item defining a file or data section. The browser menu also defines the item "Use For Link To Schematic". This item defines which of the loaded waveform files are used for interaction with the schematic windows. This allows to avoid ambiguity when several waveform files are loaded.

If opening a file fails, an error message is written to the "Message Logger".

Show Curves

Dragging items from to a schematic window to a plot window shows and highlights the corresponding curve. Dragging a curve from Analog Waveform viewer to a schematic window shows the corresponding netlist component.

Not all curves have corresponding netlist counterparts. If dragging curves doesn’t show the correct Spice netlist component or dragging netlist elements to the Analog Wave window doesn’t show the correct curves, the mapping that maps the names from one representation to another might not be correct. It can easily be verified by dragging netlist elements and curves to a text editor. In both cases an OID in text form is printed. For corresponding items the texts should be identical. If this is not the case the mapping must be redefined by the user as explained above. Note that sometimes the corresponding curve or netlist element doesn’t exist or it only exists in a different hierarchy level.

Annotating Cursor Values to Nets

The values of the active cursor can be annotated to nets in schematic windows.

Make sure either "Net Attribute at wire" or "Net Attribute at pins" is checked in the Preferences dialog.

Now select "Activate cursor mode" from the Analog Waveform toolbar. The values on the cursor will be annotated on the corresponding nets of the schematic. It might improve the visualization to regenerate the schematic view.

The Annotation Dialog

The annotation dialog allows to load Spice simulation results and create annotations from the file data. It can handle dc, dcInfo, SOA and transient simulation data. To reduce the number of created annotations a subset of data can be selected and filtered out.

The "Define Curve To Schematic Mapping" can be used to adjust how the names used in the simulation results are translated to names of the Spice netlist components.

Filtering the File Data

The "Filters" tab allows to restrict the data shown in the "File Data" tab by selecting a certain "Data Type", "Model Type" or by entering a regular expression for one of the listed parameters. After clicking the "Apply Filter Rules" button, the "File Data" tab shows a restricted data set. Selecting all browser element and clicking the "Highlight Selected Browser Elements", highlights all concerned devices in the schematic view. The color code can be changed in the main menu or toolbar. The "Next Browser Element" and "Previous Browser Element" allow to iterate over the Spice circuit elements matching the defined filter criteria.

Creating Annotations

The "Annotations" tab allows to define parameters that will be annotated to the schematic. The parameters can be renamed by entering an new name in the "Created Attributes" column. In addition a prefix and a suffix can be defined for all generated annotations. The "X-Axis Value" only concerns transient simulation data. It defines a time value on the x-axis of the transient curves. The annotated values are interpolated for this value. Only file elements selected in the "File Data" tab are converted to annotations.

Clock Domain Analyzer

The Clock Domain Analyzer can extract clock domain structures and search for circuits between different clock domains (Clock Domains Crossings, CDC). This function can be invoked from the Tools  Clock Domain Analyzer menu.

Clock Domain Analyzer dialog

Prerequisites

For usable results it is necessary to provide as much information as possible. Especially the 'pin directions' of all cells should be known to the database.

If the RTL parser was used to read the design data then no additional steps are needed as the pin directions are committed by the parser.

If a netlist parser was used to read the design data then the pin directions may be unknown. The following suggestions show how the pin directions can be created or restored:

  • Use VHDL for netlists: As all used components must be declared before use, all pin directions are automatically known.

  • Provide an SDF file: The pin directions can be restored by reading the associated SDF file.

  • Provide 'Technology-Libraries' in Liberty format.

Additionally it’s necessary that you specify all clocked cells and the names of the clock ports in the Configure Clocked Cells dialog.

Clock Domain Browser

Configuration options for the clock domain and domain crossing search are:

  • Comprehensive Mode: Collects all possible clock domain sources for all FFs rather than trying to estimate the clock domain sources. As this will slow down the process and may create complex results it should be only enabled if clock domain violations are expected.
    [ClockTree:Comprehensive:ok]

  • Skip Clock Domains with less FFs than…​: This option can be used to ignore small clock domains (e.g. asynchronous Flip-Flops).
    [ClockTree:SkipLess:ok], [ClockTree:TargetCnt]

  • Skip undriven Clock Domains: Normally, the clock domain search will stop with an error message if it finds any undriven clock signal. Use this option to ignore such errors.
    [ClockTree:SkipUndriven:ok]

  • Exclude Dual-Rank-Synchronizer: Exclude crossings which are synchronized by a Dual-Rank synchronizer.
    [ClockTree:exclDrs:ok]

Configuration options for displaying the results in the Cone window are:

  • Show full Clock Domain in Cone: Displays the full clock domain rather than creating the default (strongly recommended) reduced view.
    [ClockTree:ShowFullTree:ok]

  • Show Control Logic in Cone: Also load control logic into the cone, e.g. logic connected to the 'select', 'set', 'reset', 'enable' input ports of cells.
    [ClockTree:ShowControlLogic:ok]

  • Do not display hierarchy boxes in result: The result is displayed in the Cone window without hierarchy boxes. This option is identically equal to the "turn off the visibility of hierarchy boxes" option in the Cone window.

  • Display FFs in columns: All Flip-Flops of the same clock domain will be shown in one column.
    [ClockTree:colgroup:ok]

The clock domain search is automatically started when the dialog is opened the first time. It can be invoked again at any time by pressing the Recalculate button.

The result will be shown in a graphical way. Each clock domain is displayed as a node that is labeled with the domain’s clock source and the number of clock pins driven by this source. A (+ <NUMBER>) extension to the clock source label denotes that the clock domain has additional <NUMBER> clock sources. The following screenshot shows a clock domain browser with a finished clock domain extraction.

Clock Domain Browser

The following options are available for found clock domains:

  • Delete: Removes the selected clock domain or crossing from the result view.

  • Show: Shows the selected clock domain or crossing in the Cone window. This action can also be performed by double-clicking on the corresponding item in the graphical view.

  • Highlight: Highlights the selected clock domain or crossing with the actual highlight color.

  • Unhighlight: Remove the highlight information from the selected clock domain or crossing.

  • Highlight All: Highlight all clock domains with a different highlight color.

Clock Domain Crossing Browser

All selected clock domains are used as source and targets. If no clock domain is selected, then all domains are source and target.

The clock domain crossing search can be invoked by pressing the Add CDC button. The result will be shown as splines in the graphical view.

The following screenshot shows a CDC browser with a finished CDC search.

CDC Browser

Configure Clocked Cells

Identify Clocked Cells

This dialog allows you to specify clocked cells and one or more clock port names for each cell.

The cell names are used for the Cone Extraction target Clocked Cells. The port names are used in the Clock Domain Analyzer.

The Print Schematic Dialog

Print dialog

The Print dialog is available through the Print Dialog icon icon, File  Print menu or Ctrl+P and provides the possibility to change certain print parameters:

  • Select one of the following supported window types to print (in addition to the default windows of each class, all additionally created windows will be listed as well):
    [print:what]

    • Schem - Prints the content of the Schem window.

    • Cone - Prints the content of the Cone window.

    • Parasitic - Prints the content of the Parasitic window.

    • Design Hierarchy - Prints all modules in the database; when output is set to Postscript File or PDF File then you specify a directory name below; for each module, a Postscript/PDF file will be created in the selected directory.

  • Select the view to print:
    [print:view]

    • Current View - Print the current view of the page. The area to print is limited by the current visible area (window borders).

    • All Pages - Print all schematic pages. If you redirect printing to a file, only one file will be created.

    • Current Page - Print the schematic as displayed on the screen after a zoom fullfit. The area to print is defined by the size of the schematic drawing, extra space around the drawing is not included.

  • Output format:
    [print:dest]

    • Default Printer - Uses the default printer. The print command (lpr by default) might need to be adjusted to send the Postscript data to a printer.
      On Windows the installed default printer will be used. [print:command]

    • Postscript - Creates a file in Postscript format; enter the file name below.

    • PDF - Creates a PDF file; enter the file name below.

  • Filename - Specify the output filename, directory or command.

  • Page Size: Select the paper size.
    [print:paper]

  • Orientation: Select the orientation: Landscape, Portrait or Auto (rotate whenever the schematic’s width/height ratio is < 1).
    [print:orient]

  • Color Mode:
    [print:colormode]

    • Mono prints in black & white

    • Color prints in original colors

    • Inverted color prints in inverted colors (white gets black and vice versa).

  • Show Frame: If turned on, a page frame will be drawn around the schematic. [print:showFrame]

Note

On Windows the Dialog button can be used to open the native Print dialog.

The Save Schematic as Image Dialog

Save Schematic as Image dialog

The Save Schematic as Image dialog is available through the Photo  Dialog icon icon, File  Save Schematic as Image menu and provides the possibility to change certain parameters:

  • Select one of the following supported window types to save the schematic as an image (in addition to the default windows, all additionally created windows will be listed as well):
    [photo:what]

    • Schem - Save the content of the Schem window.

    • Cone - Save the content of the Cone window.

    • Parasitic - Save the content of the Parasitic window.

    • Design Hierarchy - Save all modules in the database. Please specify a directory name below instead of a filename. For each module, an image will be created with a filename matching the module’s name.

  • Select the view to save:
    [photo:view]

    • Current View - Save only the visible part of the schematic.

    • All Pages - Save all schematic pages. Each schematic page will result in an image file. The page number is appended to the filename.

    • Current Page - Save only the current schematic page.

  • Image Type: Select the image type of the generated file.
    [photo:dest]

  • Filename: Enter the file name of the generated file.

  • Image Size: Select the image size. If set to custom then you can specify the width and height of the image next to the option menu.
    [photo:paper]

  • Orientation: Select the orientation of the image.
    [photo:orient]

Export Schematic

This chapter describes the export schematic dialogs and how to use them to export schematics to other tools.

Export EDIF 2.0.0 Schematics

This feature is no longer maintained, but is still available as is. To activate the File  Export EDIF menu entry, the StarVision PRO tool needs to be invoked with the -enableExportEDIF command line option.

Export EDIF

The Export EDIF dialog window is available through the File  Export EDIF menu. The EDIF export can be done in two different ways:

  • Design Hierarchy - exports the whole design hierarchy.

  • Window - exports either all pages of the current module in the Schem window or the contents of the Cone window.

Optionally, the EDIF Library Name (defaults to the filename) and the EDIF Cell View Name (defaults to "") can be changed.

The following switches can additionally be turned on or off:

  • Mono color - use black on white background

  • Standard EDIF - follow the EDIF 2.0.0 standard.

  • Optimize for Cadence - tweak EDIF to fit Cadence Composer.

  • Optimize for ViewDraw/DxDesigner - tweak EDIF to fit Mentor DxDesigner.

  • Optimize for OrCAD - tweak EDIF to fit OrCAD.

  • Optimize for Tanner - tweak EDIF to fit Tanner (S-Edit).

Export Skill

Export Skill

The Export Skill dialog window is available through the File  Export Skill menu. The Skill generation can be done in two different ways:

  • Design Hierarchy - exports the whole design hierarchy.

  • Window - exports either all pages of the current module in the Schem window or the contents of the Cone window.

You need to specify a filename and an optional library name. If the library name is absent then it will be set to the default library name 'sv_lib'.
Best results are achieved, if the named library does not already exist.

Exporting a specific window uses the already rendered schematic. If the design hierarchy is exported, then the schematic of each module is generated again. The Analog Mode switch controls the analog layout schematic rendering.

In the Prolog and Epilog fields, the filename of optional Skill code can be entered, which is executed before and after the generated code. Examples, which delete an already existing library and check the generated cells, can be found in the demo/skill directory.

If the "Separate Files" option is enabled, multiple files are generated. The entered filename is used for the generation of a main file, which includes subfiles. The names of the sub files are created by appending _inc_ to the entered main filename. There are subfiles for utility functions (_inc_utils), for all generated symbols (_inc_symbols) and for each module (_inc_<MODULENAME>).

The "Overwrite Symbols" option can be used to generate code, which overwrites already existing symbols. By default, existing symbols will be left untouched.

The "Use Metric User Units" option enables the use of metric user units in the generated Skill file.

If there are triggers (e.g. used for revision control systems) the "Disable Trigger" option can be used to temporarily disable these triggers while the generated Skill script is loaded.

See also the step-by-step Skill Export Tutorial.

The Export Skill Flow

The Inputs to the StarVision PRO Skill Export feature are:

  • The Spice input file.

  • A symlib file that defines the target symbol shapes (plus mapping information into the destination cell library).

The Output is a Skill file that can be executed in the Cadence environment to perform the import of the schematic.

The "symlib" file should include mapping information for all the Spice elements to map them to the existing Cadence library cells. Actually the exported Skill script will (when executed in the Cadence environment) instantiate existing Cadence library cells rather than creating new cells.

If there is no (or incomplete) mapping information, then the exported Skill will (when executed in the Cadence environment) create a new cell for each of them, and will not use existing Cadence library cells. This is usually not the intended behavior.

If there is no "symlib" file at all (or an incomplete one), then built-in symbol shapes will be exported (and of course, Cadence library cells will not be used).

Often, the Spice elements (transistors, resistors, etc.) need to get mapped to Cadence library cells (e.g. the analogLib), but sub-circuits not (so they get created as new cells with a box-shaped symbol).

The Symlib Description

The symbol utilities can be found in the symutils directory of your StarVision PRO installation folder. The symlib format is described in the Symlib Format description. Please also note that the symutils directory includes a utility called cadence2symlib.il that can create a symlib file from a Cadence library. The created mapping information (spice lines) in that symlib file is just a template and needs manual adjustments.

Spice Mappings

The mapping information is given by symio and spice lines in the symlib file between the last symref and the first symbol entry. There are 4 classes of mapping information, described below:

Spice Cell Mappings

These mapping entries are used to map each Spice element (by element prefix and model name) to a destination symbol (library cell). Each entry consists of a line beginning with the keyword spice followed by (at least) four fields. The first matching entry will be used.

Here is a symlib example:

#     1      2         3  4
spice pmos4  analogLib M  PMOD*
spice nmos4  analogLib M  NMOD*
spice nxmos4 analogLib MN *
spice cap    analogLib C  *CAP
spice cap2   analogLib C  -
spice res    analogLib R  *
spice inv    cellLib   X  INV*
spice xmos4  analogLib M  {NM* *MN}

The first field is the name of the symbol. The second field contains the name of a library (in the Cadence environment). The third and fourth fields are used for selecting the symbol from the Spice element prefix (see definition in the Spice Element Prefix Definition table below) and Spice model (both must match). For Spice elements without a device model (a built-in model), the fourth field is a -. For Spice subckt (prefix X) a pattern matching the subckt name in the fourth field is required.

The sequence of the ports at the symbol must be the same as in the spice netlist. E.g. for an M element, the sequence of the symbol ports must be drain, gate, and source.

Spice Examples Resulting Symbol Comments

.model PMOD12 PMOS …​
M1 …​ PMOD12

analogLib/pmos4

field 3 (M) and field 4 (PMOD*) match

.model NMOD15 NMOS …​
M2 …​ NMOD15

analogLib/nmos4

field 3 (M) and field 4 (NMOD*) match

.model PXMPMOS …​
M3 …​ PXM

(none)

warning: no match

.model NXM NMOS …​
M4 …​ NXM

analogLib/xnmos4

field 3 (MN) and field 4 (*) match

.model MODMN NMOS …​
M2 …​ MODMN

analogLib/xmos4

field 3 (M) and field 4 ({MN* *MN}) second entry matches

Note

The Spice element prefix in the third field may have an additional character (e.g. MP and MN - they can be used with wild-cards for the model name and still can distinguish the M-elements by the model type PMOS or NMOS); see the Spice Element Prefix Definition table for details. The third field can be * (wild-card). The fourth field is a list (enclosed with curly braces) of glob-style pattern.

Spice Examples Resulting Symbol Comments

C1 …​ 100p

analogLib/cap2

field 3 (C) and field 4 (-) match

.model FCAP CAP …​
C2 …​ FCAP

analogLib/cap

field 3 (C) and field 4 (*CAP) match

.model BLACAP …​
C3 …​ BLA

(none)

warning: no match

R1 …​ 200

analogLib/res

field 3 (R) and field 4 (*) match

.model FRES RES …​
R2 …​ FRES

analogLib/res

field 3 (R) and field 4 (*) match

For the mapping of sub-circuits the symmap keyword is used. Here the third field determines whether the sequence of the ports at the symbol is used ("rename"), the ports of the symbol are matched by name ("match") or the port names are renamed ("map") before matching. If there are more ports in the subckt definition than at the symbol these ports are hidden.

Here is a symlib example:

#      1   2       3      4
symmap inv cellLib rename INV*
symmap sub cellLib match  SUB*
symmap add cellLib map    ADD* port:Y=O port:A=I1 port:B=I2
symbol add * DEF port Y ... port A ... port B
Spice Examples Resulting Symbol Comments

.subckt INV2 …​
X1 …​ INV2

cellLib/inv

field 4 (INV*) matches; this means, this sub-circuit is not transferred, but X1 will instantiate the existing "cellLib/inv". The ports of the subckt and the symbol are mapped by the sequence in the symbol definition.

X2 …​ SUB2

cellLib/sub

field 4 (SUB*) matches; this means, this sub-circuit is not transferred, but X2 will instantiate the existing "cellLib/sub". The ports of the subckt and the symbol are matched by name. The sequence in the symbol is not relevant.

X4 …​ ADD2

cellLib/add

field 4 (ADD*) matches; this means, this sub-circuit is not transferred, but X2 will instantiate the existing "cellLib/sub". The ports of the subckt get renamed from O, I1 and I2 to the symbol ports Y, A, B. The sequence in the symbol is not relevant.

.subckt BUF2 …​
X3 …​ BUF2

(none)

no match but no warning; this means, no mapping. This sub-circuit (BUF2) is transferred with its contents and X3 will instantiate it.

Spice Parameter Mapping

Additional fields (in the spice-lines of the symlib file) define an additional mapping for parameters. For example:

#     1     2         3  4     5       6               7               8  9           10
spice nmos4 analogLib MN NMOD* width=w length(float)=l e1(float)=m*0.5 =x new="value" p='w/l'

For those Spice elements that match with this line, some Spice parameters are additionally mapped.

The type of all exported parameters is "string" unless it is defined to something different by using the syntax
attrname(type)=attrname2. Expressions will be evaluated, if all referenced attributes are defined at the current instance. Spice parameters that are not listed and not referenced in an expression are transferred 1:1 (as type "string"). Except for an empty attrname, which will ignore the attribute. If multiple parameters map to the same attrname only the first will be used. If attrname2 is in quotes it is added as a constant value for the new created property attrname. If attrname2 is in single quotes it is partially evaluated, by only replacing each attribute by it’s value, but still showing the expression.

The symlib spice-line above will perform the following example:

Spice Parameter Resulting Cadence Property Type

…​ w=12.02

width="12.02"

string

…​ width=20

width="20"

string

…​ l=3.95

length=3.95

float

…​ length=2

length=2

float

…​ m=5

e1=2.5

float

…​ Bla=10+A

Bla="10+A"

string

…​ x=123

(x is not transferred)

-

-

new="value"

string

…​ w=1 l=2

p="1/2"

string

If the parameter value is not a constant (like in 10+A), then please check out Spice Parameter Handling.

A special parameter mapping can be used to suppress the last pin and add a property instead in the Skill output.

For example:

#     1     2         3  4     5
spice nmos3 analogLib MN NMOD* bn=@BULK ...
spice pmos4 analogLib MP PMOD*

For those Spice elements that match with the first line, the Cadence property bn='node-name' is added instead of the last pin (bulk pin):

Spice Parameter Resulting Symbol Comments

.model NMOD15 NMOS …​
M1 n1 n2 n3 n4 NMOD15

analogLib/nmos3

the nodes n1, n2, and n3 connect to the D, G, and S pins, and the property bn=n4 is added.

.model PMOD12 PMOS …​
M2 n1 n2 n3 n4 PMOD12

analogLib/pmos4

the nodes n1, n2, n3, and n4 connect to the D, G, S, and B pins.

Port Mappings

The mapping is done by extended symio lines. For exporting to Cadence, the name of the library is appended as an additional fifth field. The third field is used to match the interface name. The fourth field is used to specify a module name. Wildcards can be used. The * pattern matches always.

For example:

#     1     2      3  4  5
symio ipin  in     *  *  basic
symio opin  out    *  *  basic
symio iopin inout  *  *  basic

This mapping will map all input ports (identified by the second field in) to basic/ipin, all output ports (out) to basic/opin, and all inout ports (inout) to basic/iopin.
If a more detailed mapping scheme is needed, the * can be replaced by net and/or module names. For example, to map all "data" ports to special symbols use the following example. Because the first line which matches all three fields is used, the more specific pattern must come first.

For example:

#     1     2  3     4 5
symio sipin in data* * special
symio ipin  in *     * basic
Power/Ground Symbol Mappings

The power and ground symbols can get mapped to a Cell Library in the same way port symbols are mapped, by using symio lines. The third field is used to match the net name. The fourth field matches a module name. The fifth field is the Cadence library name of the mapped symbol.

Here is an example:

#     1           2    3     4 5          6
symio vdd5        pg+  vdd5  * analogLib
symio gndx        pg0  gndx* * analogLib
symio gnd         pg0  *     * analogLib
symio vdd         pg+  *     * analogLib
symio vee         pg-  *     * analogLib
symio vcc_inherit pg+  test* * analogLib  vcc

The second field may be pg+, pg0, pg- (representing power/ground/neg-power). The third field is a net name pattern. If the sixth field is set to a property name, it is used for setting a inherited connection on hidden power ports. The Spice parser must have Evaluate VSource to: Power switched on (on by default).

For using inherited connectivity without using a symbol the netexpr lines can be used. Because no symbol is involved no symbol name and no library name are required here.

Here an example:

#     1    2    3     4
netexpr pg+  vcc* test* vcc

The first field may be pg+, pg0, pg- (representing power/ground/neg-power). The second field is a net name pattern. The third field matches a module name. If the fourth field is set to a property name, it is used for setting a inherited connection at the matched net.

Spice Examples Resulting Symbol Comments

V1 0 vdd5 5V
V1 0 vdd 3V
vdd5's power symbol

analogLib/vdd5

vdd5 is a power net (pg+) with positive voltage=5

vdd's power symbol

analogLib/vdd

vdd is a power net (pg+) with a positive voltage but does not match the 1st line, so it matches the 4th line

0's ground symbol

analogLib/gnd

this node is a ground net (pg0) but its name does not match gndx*, instead it matches the 3rd line

gndx5's ground symbol

analogLib/gndx

assuming gndx5 is a ground net (e.g. specified by command line options) - so it is a pg0 and its name matches gndx*

Spice Element Prefix Definition

Prefix port count Spice Example Referenced Spice Model Type

R

2

Rxxx n1 n2 …​

RES, R or none

C

2

Cxxx n1 n2 …​

CAP or none

L

2

Lxxx n1 n2 …​

IND or none

D

2

Dxxx n1 n2 …​

D (Diode)

DZ

2

.model zdiode5 D bv=5.1
Dxxx n1 n2 zdiode5 …​

D (Z-Diode) [1]

Q

4

Qxxx n1 n2 n3 n4 …​

NPN or PNP

QN

4

Qxxx n1 n2 n3 n4 …​

NPN [2]

QP

4

Qxxx n1 n2 n3 n4 …​

PNP [2]

J

3

Jxxx n1 n2 n3 …​

NJF or PJF

JN

3

Jxxx n1 n2 n3 …​

NJF [2]

JP

3

Jxxx n1 n2 n3 …​

PJF [2]

M

4

Mxxx n1 n2 n3 n4 …​

NMOS or PMOS

MN

4

Mxxx n1 n2 n3 n4 …​

NMOS [2]

MP

4

Mxxx n1 n2 n3 n4 …​

PMOS [2]

Z

3

Zxxx n1 n2 n3 …​

NMF or PMF

ZN

3

Zxxx n1 n2 n3 …​

NMF [2]

ZP

3

Zxxx n1 n2 n3 …​

PMF [2]

ZN

3

.model igbt27 NIGBT …​
Zxxx n1 n2 n3 igbt27 …​

NIGBT (pspice)

BN

3

.model gnom3 GASFET …​
Bxxx n1 n2 n3 gnom3 …​

GASFET (pspice)

SE

4,6…​

.model selem SP …​
Sxxx n1 n2 …​ mname=selem …​

SP (hspice S-Element)

S

4

.model switch1 SW …​
Sxxx n1 n2 n3 n4 switch1 …​

SW

WU

2,4,6…​

.model transm1 U …​
Wxxx n1 n2 umodel=transm1 n=1 …​

U (hspice: lossy transmission line)

W

2

.model iswitch1 CSW …​
xxx n1 n2 iswitch1 …​

CSW

V

2

VSOURCE

I

2

ISOURCE

I0

2

zero current source

ISOURCE

G

4

ISOURCE

GP

2

HSpice CUR=

ISOURCE

E

4

VSOURCE

EP

2

HSpice VOL=

VSOURCE

F

2

ISOURCE

H

2

VSOURCE

BV

2

VSOURCE

BI

2

ISOURCE

T

4

TRANSLINE

O

4

TRANSLINE

U

2,4…​

.model umod U …​
Uxxx n1 n2 …​ umod …​

U (hspice U-Element)

U

3

UDRCLINE

K

0

L1 …​ L2 …​
Kxxx L1 L2 …​

coupler

X

any

Xxxx n1 n2 …​ Bla

sub-circuit "Bla"

symio type port count Special Purpose

pg+

1

defines power symbol

pg0

1

defines ground symbol

pg-

1

defines neg-power symbol

in

1

defines Input port symbol

out

1

defines Output port symbol

inout

1

defines Bidirectional port symbol

Spice Parameter Handling

For transferring Spice parameters to the Cadence environment, each module (.SUBCKT) instance is examined. If all referencing instances of a module have the same attribute (name) attached, the corresponding parameter name is quoted with pPar() in all contained expressions.

Spice Parameter Example Resulting Cadence Property Comments

.param wp=7 …​ W=wp

W="wp"

"wp" is a global parameter

.subckt Blk…​A=0…​
…​ W=20+A+G L=G
.ends
X1 …​Blk A=1
X2 …​Blk A=2

W="20+pPar("A")+G" L="G"

"A" is an inherited parameter that is defined at each instantiation of "Blk".
"G" is a global parameter.

The parameters can additionally be mapped. If the spice-line of the symlib file defines this parameter mapping:

#     1     2         3  4     5       6
spice nmos4 analogLib MN NMOD* Width=W Length(float)=L

then, the Resulting Cadence Properties would be this:

Spice Parameter Example Resulting Cadence Property

.param wp=7 …​ W=wp

Width="wp"

.subckt Blk…​A=0…​
…​ W=20+A+G L=G
.ends
X1 …​Blk A=1
X2 …​Blk A=2

Width="20+pPar("A")+G" Length=G

Export Netlist

This chapter describes the Export Netlist dialog and how to use it to generate netlists in different formats.

Export Netlist

The Export Netlist dialog window is available through the Tools  Export Netlist menu.

The following output formats are supported:

  • Spice - Generic Spice.

  • Verilog - Structural Verilog.

  • Tcl - StarVision PRO specific Tcl netlist.

  • VHDL - VHDL netlist.

The following options are available:

  • Create Named Connectivity (Verilog format only) - Write the Verilog file using named connectivity.

  • Add Function Implementation (Verilog and VHDL) - Add a function implementation of selected primitives.

  • Create .MODEL Statements (Spice format only) - Create the corresponding .MODEL or .subckt statements for primitive devices or macro models.

  • Create Corresponding Symlib - Create a symbol library file containing symbol shape information.

  • Create Corresponding Liberty - Create a Liberty file containing cell and clock information.

  • Write Compressed (gzip) File - Create a gzip compressed output file.

If the output format is Spice then constant values are modeled as nodes using the names listed in this dialog.

Open/Save a Binfile

The current database contents can be stored into and retrieved from a file in binary format (the so called 'Binfile'), sometimes referred to as ZDB-file (it uses the .zdb filename extension by default). The binary file is a way to load big circuits very fast.

The batch-tool rtl2zdb can be used to create a Binfile from Verilog and VHDL files as a background task (with no GUI).

The batch-tools verilog2zdb and edif2zdb can be used to create a Binfile from Verilog or EDIF netlists as a background task (with no GUI).

The batch-tool spice2zdb can be used to create a Binfile from a Spice file as a background task (with no GUI).

Use the Open binfile icon icon or the File  Open  ZDB Binfile menu to open a binfile in StarVision PRO.

The File  Save ZDB Binfile menu can be used to save a binfile from StarVision PRO.

SDF Files

The Standard Delay Format (SDF) files can be provided by selecting them in the Attach SDF dialog, which is available through the File  Open  Attach SDF menu:

Attach SDF dialog
  • Use the Add, Remove, Remove All buttons to edit the list of SDF files to be linked to the Verilog design.
    [SDF:FL].

  • If you enable the Update Pin Directions option, pin directions are reconstructed from the SDF data. This can be used e.g. to create valid pin directions if no cell-library is given. All cells must have valid pin directions to show proper timing.
    [Sdf:pindirupd]

  • Top-Level Instance Name lets you choose the name of the top-level instance.
    [Sdf:topInstName]

SDF Info

The SDF Info dialog can be opened with the Popup invoked on an object that contains SDF information. Therefore one or more SDF files need to be provided. This dialog shows timing information for selected items in the Schematic or Cone window.

The SDF Info dialog is divided in three sections: Configuration, Design Info, and Timing Info. Each section can be disabled or enabled by setting the checkbox next to the label of each part.

SDF Info dialog

Configuration

  • Process, Value, and Transition - Select which process, value and transitions shall be shown at Timing Info section.

  • Show Full Port Name - The full port name will be shown in the Timing Info section. Disable this option to shorten long names e.g. to make configuration expressions more readable.

  • Annotate Data - If enabled, each timing value selected in Timing Info will be immediately annotated to the according pin/port in the schematic. Three timing values can be stored at each pin/port which can be switched by selecting a different process.

  • Add Annotated Timings - If the source of the path of the actual timing already has an annotated timing, the sum of both timings is shown. To tell the difference between real timing and accumulated timing, a + is shown for accumulated timings.

Design Info

Shows design information provided by the SDF file(s) linked to the actual design.

Timing Info

Shows the timings for the currently selected net, pin, port or inst.

If a pin or inst is selected, the upper legend of the table shows the input-pins of the current instance and on the left side the output-pins. Bidirectional pins may be found in both legends.

If a net or port is selected, the upper legend shows the output-pins, the left legend the input-pins.

For each input/output pair, all transitions selected in Configuration are shown as a list. Values shown in 'green' are explicitly given values from the SDF file. Values shown in 'grey' are implicitly given. If no value is given, a - is shown. An optional configuration for each transition may be shown at the bottom of each transition list. The configuration can be changed by clicking on the configuration entry.

Report Instance Count

This function can be invoked with the report instance count icon icon, from the Tools  Report Instance Count menu entry (for the top module only) or from the context menu of the Tree window (for the selected module).

The Report Instance Count feature counts the number of instantiated modules and primitives in the hierarchy tree below the current module and displays it in a separate dialog window.

Preferences

The Preferences dialog can be opened with the Preferences dialog icon icon or from the View  Preferences menu entry.

The Preferences dialog can be used to configure the GUI. All settings can be saved to (and read from) a Workspace file.

You can use the environment variable GV_GLOBAL_WORKSPACE to define a global workspace which is read at startup.

The Preferences Dialog

The buttons in the lower part of the Preferences dialog have the usual meaning:

  • OK - Close dialog and commit changes.

  • Cancel - Close dialog and discard changes.

  • ? - Open the documentation.

The dialog is split into the following sections (tabs): Display, Schem, Cone, Source, Tree, Appearance, Mouse, and Misc.

The pictures below show the 'default' settings in the different dialog tabs. The tooltips might give you useful information about specific items when running the tool. To change one of the settings using the GUI API you can use the gui settings set command with the name shown in brackets after each option.

Display Properties

Display Properties

All preferences in this Display tab apply to the Schematic and Cone windows of all Pane windows simultaneously.

  • Instance Name - Toggle display of instance names in schematic.
    [nlv:showinstname]

  • Pin Name - Toggle display of pin names in schematic.
    [nlv:showpinname]

  • Port Name - Toggle display of port names in schematic.
    [nlv:showportname]

  • Net Name at Wire - Toggle display of net names at wires in the schematic.
    [nlv:shownetname]

  • Net Name at Pin - Toggle display of net names at pins in the schematic.
    [nlv:shownetnamepin]

  • Page Number - Toggle display of page numbers at off page connectors.
    [nlv:showpagenumbers]

  • Ripper Index - Toggle display of ripper index at netBus ripper.
    [nlv:showripindex]

  • Show Bus Range - Toggle display of the bus range at pinBus and portBus objects.
    [showBusRange]

  • Instance Attribute (Primitives) - Toggle display of primitive instance attributes in schematic.
    [nlv:showattribute]

  • Instance Attribute (Modules) - Toggle display of module instance attributes in schematic.
    [nlv:showcellname]

  • Net Attribute at Wire - Toggle display of net attributes at the wire.
    [nlv:netattrwire]

  • Net Attribute at Pins - Toggle display of net attributes at the pins.
    [nlv:netattrpin]

  • Power/Ground Label - Toggle display of labels of power and ground nets in schematic.
    [nlv:showpgtype]

  • Graphical Marks - Toggle display of graphical marks.
    [nlv:showmarks]

  • Annotate Wave Values - Toggle annotation of logic values from the Wave window in the Schematic and Cone window.
    [nlv:showval]

  • Propagate Port Attribute - Propagate port attribute to the corresponding pin.
    [nlv:portAttrAtPin]

  • Help Tooltips - Toggle display of help tooltips in the GUI.
    [helpTooltips]

  • Object Tooltips - Toggle display of database object tooltips in the GUI.
    [tooltips]

  • +Attributes - Toggle display of attributes in the tooltips in schematic; this only applies to database objects that have attributes set.
    [tooltipsWithAttrs]

  • +Parameters - Toggle display of instance/cell parameters in the tooltips.
    [tooltipsWithParameters]

  • +Root Module - Toggle display of the root module in the tooltips in schematic.
    [tooltipsWithRoot]

  • +Fanout - Toggle display of fanout in the tooltips in schematic.
    [tooltipsWithFanout]

  • +Bus Members - Display bus members in the tooltips for pinBus and netBus objects.
    [tooltipsWithMembers]

  • +Source Preview - Display source code preview in tooltip for files smaller than 256MB.
    [tooltipsWithSource], [tooltipsWithSourceFilesizeLimit], [tooltipsWithSourceLineWidthLimit]

  • Auto Fullfit - Automatically zoom new modules to fullfit.
    [nlv:autoFullfit]

  • Show Grid - Display a dotted grid (visible only with an appropriate zoom factor).
    [nlv:showGrid]

  • Show Drop Shadows - Toggle drop shadow effect on instances.
    [nlv:dropshadow]

  • Transistor Devices on Grid - Place all transistor devices on the grid.
    [nlv:onGrid]

  • Transistor Devices with Arrow - The source pin is marked with an arrow.
    [nlv:deviceArrow]

  • Hide Control Nets - Hide control nets to reduce the wiring of the schematic.
    [nlv:hideControlNets]

  • Allow Pin Permutation - Allow the permutation of pins to reduce wire crossings. If a custom symbol shape is used then the symbol needs to allow pin permutation.
    [nlv:pinpermute]

  • Colored Selection - Use the goto color for additional selection feedback.
    [nlv:coloredSelection]

  • Net Direction Indicator - Decorate net objects with additional logical direction indicator icons.
    [nlv:netDirIndicator]

  • Show Comments - Show graphical object comments.
    [nlv:showComments]

  • Show Bus Width Markers - Add graphical marks to netBuses that show the bus widths.
    [nlv:showBusMarkers]

  • PG stub hide - Do not show PG stubs.
    [nlv:pgstubHide]

  • Elide names longer than X characters - Labels longer than a given limit are displayed clipped with three leading dots. A value of 0 disables text eliding.
    [nlv:elidetext]

Schematic Properties

Schematic Properties

All preferences in this Schem tab apply to the Schem window of all Pane windows simultaneously.

  • Enable Page Splitting - Activate page splitting for the Schem window.
    [schem:splitpage]

  • Best Logic Cut (Page Size will vary) - Use a built-in automatism to determine the actual page size of the schematic; use the Size listbox to change the preferred size factor.
    [schem:fitpage]

  • Exact Page Size - Use a fixed page size for schematic generation; use the Size listbox to change the preferred sheet size; there will be a visible frame surrounding the schematic in this mode.
    [schem:fitpage]

  • Size - Select the page size.
    [schem:logicSize], [schem:exactSize],
    [schem:sheetwidth], [schem:sheetheight]

  • Orientation - Toggle the orientation of the schematic; choose between Landscape (width > height) and Portrait (height > width) mode.
    [schem:orientation]

  • Display Top Schematic at Startup - This option controls whether the top-level schematic is automatically displayed in the default Schem window.
    [startTopSchematic]

  • Remember History - Enable the forward and backward history buttons.
    [schem:history]

  • Expand Modules Inline - Expand the contents of modules inline in the current module using the (+) button in the top left corner.
    [schem:expandInline]

  • Double-click hides a Net - A double-click on a net toggles the hide state of the net.
    [schem:doubleNetHide]

  • Big Module Limit - Before the schematic of a module is generated the number of objects the module contains is compared with the number specified here. If the number of components in the module is less than this limit, the schematic is generated without user interaction.
    Increase this number for fast computers and decrease it for slower machines.
    This value is also used as a limit if you load heavy connected Nets/Signals to the Cone window using Connectivity Browser  Signal from the context menu.
    A value of 0 disables the limit. The default value is 60,000.
    [bigModuleLimit]

Cone Properties

Cone Properties

All preferences in this Cone tab apply to the Cone window of all Pane windows simultaneously.

  • Enable Page Splitting - Activate page splitting for the Cone window.
    [cone:splitpage]

  • Best Logic Cut (Page Size will vary) - Use a built-in automatism to determine the actual page size of the schematic; use the Size listbox to change the preferred size factor.
    [cone:fitpage]

  • Exact Page Size - Use a fixed page size for schematic generation; use the Size listbox to change the preferred sheet size; there will be a visible frame surrounding the schematic in this mode.
    [cone:fitpage]

  • Size - Select the page size.
    [cone:logicSize], [cone:exactSize],
    [cone:sheetwidth], [cone:sheetheight]

  • Orientation - Toggle the orientation of the schematic; choose between Landscape (width > height) and Portrait (height > width) mode.
    [cone:orientation]

  • Do not Display Hierarchy Boxes - If this option is enabled then the hierarchy boxes are not displayed in the Cone window. You get a flat view of your design. But the path is still visible in the instance name.
    [cone:hierfilter]

  • Hide Unconnected Pins - Unconnected instance pins are hidden automatically in the Cone window (until they are connected to a loaded, i.e. visible, net). You may also use a double-click with the left mouse button on the border of an instance in Cone window to quickly toggle the hide mode.
    [cone:autohide]

  • Auto-Highlight added Objects - Automatically highlight added objects.
    [cone:autohighlight]

  • Follow Pin Highlight - A double click on a highlighted pin or port object will propagate the highlight color to the added object(s).
    [cone:followPinHighlight]

  • Show Object Toolbar - Toggle the visibility of the object toolbar to access the delete function at selected instance and port objects.
    [cone:objtoolbar]

  • Remember History - Enable the forward and backward history buttons.
    [cone:history]

  • Max Path Length to Power/Ground - When double-click extends the schematic by a device, then paths to power and ground are searched and also added automatically. This search can be limited to the given number of added instances.
    [cone:devicePathMax]

  • Trace Through - A double click in the Cone window will go through all cells specified here as trace through cells. To enter trace through cells either select them from the drop down menu or enter a glob style pattern to add all cells matching the given pattern.

Source Properties

Source Properties
  • Mark Keywords - Syntax highlights for known keywords.
    [Syntaxhighlight]

  • Show Attributes - Display attribute values of net and pin objects.
    [ShowAttributes]

  • Show Action Bar - Display action bar when clicking on objects in the Source window.
    [ShowActionBar]

  • Show Find in File - Always show the Search for toolbar.
    [source:showFindInFile]

  • Display Short File Names - Display short file names in the Source window’s file selection list instead of full paths
    [source:displayShortFnames]

  • Remember History - Enable navigation history in the Source window.
    [source:history]

  • External Editor - Choose your favorite external editor (must be installed on the machine) for viewing and editing source files from Source window.
    The following formatting arguments are supported:

    • %f - current file name in Source window

    • %l - current line number in file displayed in Source window
      [source:editor], [source:editorCmd]

Tree Properties

Tree Properties

The Tree widget supports two different view types:

  • Module Based - Show a folded tree sorted and grouped by modules.
    [Tree:ModuleView]

  • Instance Based - Sort the tree by instances.
    [Tree:ModuleView]

In the module based view additional information can be displayed in the Tree:

  • Show Module Interface - Add a node to the tree to show the I/O ports of a hierarchical module.
    [Tree:Show:PORT]

  • Show User Defined Nets - Add a node to the tree to show all nets and buses not automatically generated by a parser.
    [Tree:Show:NET]

  • Show Registers - Add a node to the tree to show all clocked elements of a module.
    [Tree:Show:REG]

  • Show Operators - Add a node to the tree to show hierarchical blocks with a known function.
    [Tree:Show:OPER]

  • Show Primitives - Add a node to the tree to show all primitives of a module.
    [Tree:Show:PRIM]

  • Show Parameters - Add a node to the tree to show all parameters of a module.
    [Tree:Show:PARAMS]

General Tree preferences:

  • Show the original RTL name without parameters in the tree.
    [Tree:UseRtlName]

  • Auto populate - If the database was opened from a binfile using quick mode, then the auto populate option can be used to automatically populate modules to see the entire contents.
    [Tree:AutoPopulate]

  • Limit Number of Items - Limit the number of children in each sub-tree.
    [Tree:Limit]

Appearance Properties

Appearance Properties

In this tab you can customize the appearance of the tool. There are several predefined color schemes to choose from. You can customize one of these color schemes by clicking with the mouse on the preview label of the color. The highlight color is subdivided into a foreground and a background color. The selection background color has a higher priority as the highlight background color.

Note

All changes to Schematic apply to the Schem and Cone window of all Pane windows simultaneously.

  • Schematic - A listbox for choosing the schematic element; the current color for the currently selected schematic element is displayed on the right; click on that color to change it.

  • Highlight - A listbox for choosing the highlight color; the current colors for the currently selected highlight list are displayed on the right; the left color is the foreground highlight color and the right color is the background highlight color; click on the colors to change them.
    Please note that the highlight background color of the Schem and Cone window is automatically derived from the highlight foreground color.
    You can choose between 16 different colors for highlighting.

  • GUI - A listbox for choosing a GUI element; the current color for the currently selected GUI element is displayed on the right; click on that color to change it.

  • Wave - A listbox for choosing an element of the Wave window; the current color for the currently selected element is displayed on the right; click on that color to change it.

  • Source - A listbox for choosing an element of the Source window; the current color for the currently selected element is displayed on the right; click on that color to change it.

  • Set to Light Colors - Reset the colors to the built-in 'light' color set (this is the default color scheme).

  • Set to Dark Colors - Reset the colors to the built-in 'dark' color set.

  • Icon Size - Select the size of icons in the toolbars.

You can also customize the fonts used in {StarVision PRO}:

  • Normal - the generic GUI font used for buttons, labels, lists.
    [fontFamily:normal], [fontSize:normal]

  • Menu - the font used for menus.
    [fontFamily:menu], [fontSize:menu]

  • Tooltip - the font used in tooltips.
    [fontFamily:tooltip], [fontSize:tooltip]

  • Fixed - the fixed-width font used in the Console and Messages windows.
    [fontFamily:fixed], [fontSize:fixed]

  • Source - the font used in the Source windows.
    [source:fontFamily], [source:fontSize]

  • Nlview - the font sizes used in the schematic displays (Nlview widget) of Schem, Cone, and Infobox windows. Please note that the configured size corresponds to zoom level 1, and that the font family cannot be configured.
    [fontSize:nlview], [fontSize:nlview_big], [fontSize:nlview_small]

Mouse Properties

Mouse Properties
  • Drag & Drop Button - Select the mouse button used for Drag & Drop.
    [dndbutton]

  • Gestures/Strokes Button - Select the mouse button used for drawing gestures/strokes in Nlview windows (Schem, Cone, etc.).
    [button_gestures]

  • Panning Button - Select the mouse button used to pan Nlview windows (Schem, Cone, etc.).
    [button_panning]

  • Zoom Wheel - Select the mouse wheel + modifiers to zoom in Nlview windows (Schem, Cone, etc.).
    [wheel_zoom]

  • Horizontal Scrolling Wheel - Select the mouse wheel + modifiers to scroll horizontally in Nlview windows (Schem, Cone, etc.).
    [wheel_scroll_x]

  • Vertical Scrolling Wheel - Select the mouse wheel + modifiers to scroll vertically in Nlview windows (Schem, Cone, etc.).
    [wheel_scroll_y]

Misc Properties

Misc Properties
  • Hierarchy Separator - If the specified character is not used in any name then it will be used as a separator character for path names.
    [hiersep]

  • Temp directory - Choose the directory, where StarVision PRO can store temporary files (e.g. for parsing Verilog netlist files on Windows).
    [tempdir]

  • Logfile - Write all warning and error messages shown in the Console window to this file. If Report Level is Debug then additional information (not shown in the Console window) is written to this file.
    [logfile]

  • Initial Directory - Use this initial directory for all file dialogs.
    [initialDirectory]

  • Report Level - Select the level of created messages.
    [info]

  • Show Messages Window on Error - In case of an error message the Messages window becomes visible.
    [showConsoleOnError]

  • Check for File Changes Every - If enabled then the current design’s files will be checked for changes at regular intervals. In case a change is detected, an appropriate message will be displayed in the Console window, asking the user to reload the design.
    [filewatcher:enabled], [filewatcher:interval]

  • Sync the Selection of Tree, Schem and Source - If enabled each selection in Tree and Source and each module change in Schem is synchronized to all Tree, Schem and Source windows. [syncToObject]

  • Primitive Level - Specify hierarchical cells as primitives.

    • Operators are all cells with a known function.

    • Library Cells are cells flagged as coming from a library (e.g. a binlib).

    • Celldefine Modules are modules surrounded by the Verilog macros `celldefine and `endcelldefine.

    • Cells with a Symbol are all cells with a @symbol attribute (from a symlib).
      [primLevelOper],
      [primLevelLibCell],
      [primLevelCelldefine],
      [primLevelSymbol]

  • Parasitic Filter Resistor - In a Pane window created by Window  New Default Pane (No Parasitics) short all resistors less or equal than this value (the unit is Ohm). If the entered value is a glob style pattern prefixed by model:, all instances of models that match get shorted.
    [nlv:pfilterR]

  • Parasitic Filter Caps - In a Pane window created by Window  New Default Pane (No Parasitics) remove all capacitors less or equal than this value (the unit is Farad). If the entered value is a glob style pattern prefixed by model: all instances of models that match get removed.
    [nlv:pfilterC]

  • Enable the default plugin directory - If checked, the installation’s default plugin directory is scanned for plugin scripts.
    [plugins:usedefaultdir]

  • Plugin Directories - Edit the list of directories that are scanned for plugin scripts.
    [plugindirs]

  • Help Browser - Select the type of help browser to use:

    • Default Browser - Use the operating system’s default web browser and use it as the help browser.

    • Custom command lets the user specify the path to an external web browser (e.g. the path to the system’s Firefox executable).
      [help:browser_type], [help:browser_command]

  • Window Title - Select if the displayed window title is derived from one of the input filenames or from the top module name.
    [deriveTitle]

Select Display Attributes

Select Attributes

This dialog is accessible from the View  Select attributes menu. With this dialog the attributes to display in the Schematic and Cone window can be selected.

In this dialog you can define display rules for different kinds of attributes. You must enter a format string that defines the attributes to display.

The entry field for the various Instance attributes define format strings for instances of each cell.

After pressing the "Scan Database" button all available attributes are shown as check buttons and can be selected to make them visible.

The entry fields Net, Power, Ground, Pin and Port define global format strings for attributes at each of those objects.

In the Graphical Marks field an attribute name containing graphical marks information can be specified.

Select Symbol

Select Symbol dialog

This dialog is accessible from the Select Symbol entry in the context menu and allows you to assign symbol shapes to modules displayed as boxes in the Schem and Cone window.

The list on the left side shows all available database cells. A preview of the selected cell is displayed below the list. This preview shows how the cell will be drawn in the Schem and Cone window.

On the right side a symbol can be selected. This could either be one of the built-in symbol shapes or a custom symbol. A list of all available custom symbols coming from a symbol library is displayed. The preview window shows how the selected cell would be drawn in the Schem and Cone window if this symbol shape is assigned by pressing the Apply or OK button.

If the order of ports at the cell loaded to the database does not match to the order of ports in the symbol shape definition then the port order can be changed using the "Port Assignment" dialog at the bottom of the Select Symbol dialog.

If a selected database cell already has an assigned symbol shape, the Remove Shape button can be used to remove the assigned symbol shape.

Edit Attributes

With this feature, the user can modify spice attributes from the GUI and can write back a modified Spice file (by selecting the File  Save Spice menu entry).

Edit Attributes

If you click on a primitive instance with the right mouse button, then you can invoke Edit  Edit Attributes from the context menu. In the dialog window you can modify the attributes of this instance or you can add new attributes.

If you have selected more than one primitive instances and the object attributes have different values then the value of the first selected object is displayed in grey color. Nevertheless you can modify the value.

A checkbutton indicates that a value has been modified.

Changing the attribute value to an empty string will delete the attribute.

With the New Attribute button you can add more attributes.

Pressing the Cancel button discards all changes and closes the dialog.

With the OK button the new attributes and values are applied to the database and the "Save Spice" toolbar and main menu entries are enabled.

The Save Spice function renames your original Spice files (the filename extension .orig is added) and creates new Spice files - but the new files differ to the original files only at the modified attributes (all other Spice data - including comments are copied 1:1 from the original file). You can run a diff to see the differences.

"Save Spice" relies on the Source Info, that means, if the Spice file was modified after parsing time, then an Application Error dialog is displayed.

The Blocklevel View

The Blocklevel View mode is an abstract view of the original design that displays data flow between instances instead of explicit connectivity.

Blocklevel View

The Blocklevel View mode can be activated by clicking the Toolbar Icon for blocklevel view icon in the toolbar or by selecting Tools  Blocklevel View  Enable from the main menu.

The Blocklevel View replaces all hierarchical cells by special blocklevel cells (indicating signal flow) and merges all nets/buses connecting two instances by exactly one artificial net.

Selecting an instance in the Blocklevel View mode colors the neighboring instances such that it becomes obvious which neighboring instance is driving the selected instance, is driven by the selected instance, or is both driving and driven (feedback) by the selected instance.

The behaviour of the Blocklevel view can be configured by activating features accessible from the Tools  Blocklevel View menu.

Console Window

The Console window can be opened with the Toolbar icon for the Console window icon in the toolbar or with Window  Console from the main menu.

The tool is based on Tcl/Tk and the Console window displays error or warning messages and provides direct access to the Tcl interpreter. The user can type in any Tcl command to execute it.

Console window

The example above shows a Console window with one user command.

The Console's main purposes are:

  • Evaluate Tcl commands. It accepts the complete Tcl/Tk language and some tool specific extensions, like the database API and GUI API. These extensions make the tool very flexible, e.g. through the usage of user scripts called 'Userware'.

  • Present Warnings and Errors. In some cases the GUI emits warnings, errors or information that are not displayed in a message box; they’re rather displayed in the Console in a different color (blue for warnings, green for return values of executed commands and red for errors).
    Parser errors which contain file and line information can be clicked with the mouse to display the file in the Source window.

The Console also features a small context menu with text-based copy & paste functionality, the option to clear the Console window and the possibility to save the contents as a text file.

Statusbar

The appearance of the Statusbar (appears at the very bottom) can be toggled by selecting Window  Statusbar from the main menu.

Statusbar

The statusbar displays statistics of the loaded design:

  • the number of errors and warnings (by clicking on this field, the Messages window will be displayed).

  • the memory consumption (by clicking on this field, a detailed report will be displayed).

  • the number of loaded design files.

Messages Window

The Messages window can be opened with the Toolbar icon for the Messages window icon in the toolbar or with Window  Messages from the main menu.

The Messages window shows all messages issued to the internal messaging system.

By default only error messages are displayed. The type of messages to be shown can be selected from the "Level" combobox.

Messages Window

Connectivity Browser

The Connectivity Browser can be opened with Window  Connectivity Browser from the main menu or from the submenu when right-clicking a net or a net bus.

The Connectivity Browser shows the connectivity of the selected net or netBus.

It provides a list of the connected pins and ports. Connection Details displays a port/pin centric view for the port/pin selected in Connections.

In the Net Details and NetBus Details an overview of the entire connectivity of the selected net or netBus is shown.

The net or netBus can be selected via the popup menu or can be dragged and dropped in the Browser’s tab.

In the signal mode all connected pins and ports of the selected signal are listed. The option "Show Hierarchical Connections" adds all directly connected pins and ports of the traversed modules. The Signal Details shows a signal overview at the top level of the signal.

Connectivity Browser

Infobox

The Infobox window can be opened with the Toolbar icon for the Infobox window icon in the toolbar or with Window  Infobox from the main menu.

The Infobox shows additional information of the selected object. In the first column the appended attributes are listed. Show internal attributes in the list by toggling the "Show internal attributes" checkbox (this also includes calculated attributes). [showInternalAttributes] In the second column the active flags are shown. The third area contains a detailed view of the object. It show e.g. hidden ports, highlights and connection details. For large elements this view is optimized for performance reasons and can be adjusted by increasing the global Big Module Limit.

If signal mode is enabled an overview of the signal is shown.

Infobox

If a bus is selected a filter can be applied to display chosen parts. Valid strings are single bits like 1,2,3 or ranges e.g. 1-3, or mixed 1-3,4.

Attributes

The Attributes shows attributes of the selected object.

The Assertion Window

The Assertion window lists all assertions in the design. The assertions are presented as a tree, where the different types of assertions are the parents and the corresponding instances the children.

Assertion Window

Userware

StarVision PRO can execute Tcl scripts (we call them Userware). These scripts can access the GUI API and the database API and Tk (e.g. to extend the GUI). To execute an Userware script, you have several possibilities:

  • Choose the menu entry File  Load Userware

  • Specify the command line option -userware <scriptname.tcl> at startup

  • Type source "scriptname.tcl" in the Console window

Learn more about Userware scripts here:

The Plugins Dialog

The Plugins dialog can be opened with the Toolbar icon for the Plugins dialog icon or from the Tools  Plugins menu entry.

Plugins dialog

The Plugins dialog lets the user explore and load plugins, which are Userware Tcl scripts with 'plugin character' in contrast to just being mere 'API usage examples'.

The dialog lists all plugin scripts found in the configured 'Plugin Directories' - you can change the list of directories by the Plugin Directories section in the Misc tab of the Preferences dialog, or by using the command line option -pluginDir.

A specific plugin can be loaded by clicking on the plugin’s Activate button. The behavior of some plugins can be configured via the Configure button.

The Filter input field lets you perform a keyword search on the list of plugins.

Each Plugin is tagged with one or more keywords to additionally categorize it. The list of Plugins can also be filtered based on these tags.

Some Plugins create a tabbed window. If the checkbutton "Disable Plugin if Window is Closed" is enabled, then closing a tab (e.g. by clicking the 'x' in the tab) will unload and therewith disable the corresponding plugin.
[plugins:unloadondestroy]


1. The D and DZ are distinguished by analyzing the bv model parameter: if bv is smaller than 100 then it is a Z-Diode (DZ) else an ordinary Diode (D).
2. The bold-printed Spice Model Types are checked to distinguish the Prefix’s second character; e.g. MN matches M-instances that additionally refer to a NPN model and MP matches only those that additionally refer to a PNP model; but M matches any M-instance.