This is the list of changes for each release. The most recent changes are at the top.
RTLvision PRO 2025
This is a major release, the following features were fixed and/or added:
-
Show the logic value at the mouse position in the tooltip of the Wave window.
-
Add new GUI API commands
gui tab showPlusMenu
andgui tab hidePlusMenu
to control the display of the "+" tab to add new windows. -
Add new GUI API commands
gui tab showSplitControl
andgui tab hideSplitControl
to control the display of the buttons to split the window. -
Add new GUI API commands
gui settings addDialog
andgui settings removeDialog
to add and remove a custom tab to the Preferences dialog. -
Add new GUI API commands
gui cone disableUpdate
to disable the update of highlights and attributes in the Cone window. -
Add new GUI API commands
gui cone enableUpdate
to re-enable the update of highlights and attributes in the Cone window.
In addition, the following features were fixed and/or added:
RTLvision PRO 2024.1.2
This is a maintenance release, the following features were fixed and/or added:
-
Fix an update issue the Wave window window after calling
gui wave databaseModified
. -
Fix the GUI API command
gui wave showMembers
to support groups. -
Do not show a value for group labels in the Wave window.
-
Wave group members are added in the correct selection order.
-
Fix selection behavior in the list of loaded signals in the Wave window.
-
Stabilize the schematic view in the Cone window using the Extract to feature.
-
Fix toggling the ignore case option for reading Verilog RTL files.
-
Speed-up matching waveform signals that do not exist in the netlist database.
-
Show the unfold button also for empty hierarchical objects in the Cone window.
-
Fix writing the database as a Verilog netlist (avoid a namespace violation).
-
Includes the Verific October 2024 Software Release.
-
Fix restoring a previously saved schematic placement.
-
Fix the GUI API command
gui schem isFolded
for initially loaded instances. -
Fix the big module limit in the Cone window when set to 0.
RTLvision PRO 2024.1.1
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific August 2024 Software Release.
-
Fix the format of the value returned by the
gui wave loadSignals
GUI API command. -
Enhance the GUI API command
gui wave loadSignals
and add the option-dryRun
to check which signals can be loaded. -
Enhance the "Extract to Driver" and "Extract to Load" functions in the Cone window and exclude control logic from the result.
RTLvision PRO 2024.1
This is a major release, the following features were fixed and/or added:
In addition, the following features were fixed and/or added:
-
Annotated wave values can be formatted using the @waveValueFormat attribute similar to the @format meta attribute.
-
Change the behavior of the GUI API command
gui wave loadSignals
: already loaded signals are ignored and not loaded again. -
Enhance the GUI API command
gui wave loadSignals
and add the option-force
to force adding already loaded signals. -
The GUI API command
gui wave loadSignals
returns a list of signal names that do not match the loaded waveform database. -
Searching for objects in the Schem and Cone window is now done on all schematic pages.
-
Incompatible change of the GUI API command
gui cone registerChangedCallback
: the list of added and removed objects is appended to the registered callback. -
Add new GUI API command
gui schem customFoldAction
to register a custom procedure for the fold button at hierarchical instances in the Schem window. -
Add new GUI API command
gui schem customUnfoldAction
to register a custom procedure for the unfold button at hierarchical instances in the Schem window. -
Enhance goto foreground color in the Source window.
RTLvision PRO 2024.0.5
This is a maintenance release, the following features were fixed and/or added:
-
Fix showing the Connectivity Lens in the Cone window.
-
Fix incorrect waveform drawing after going to the first or last time.
-
Fix toggling the display of the hierarchy for a signal loaded to the Wave window.
-
Fix the GUI API commands
gui wave saveSignal
andgui wave loadSignal
for vectors and groups. -
Includes the Verific July 2024 Software Release.
RTLvision PRO 2024.0.4
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific June 2024 Software Release.
-
Cloning a signal in the Wave window also clones the waveform annotations and customization.
RTLvision PRO 2024.0.3
This is a maintenance release, the following features were fixed and/or added:
-
Add support for highlighting virtual objects.
-
Add the GUI API commands
gui plugin registerQuitCallback
to register a callback that is called when the application is closed.
RTLvision PRO 2024.0.2
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific May 2024 Software Release.
-
Enhance the "Select Attributes dialog" and add support to select cell attributes to be displayed at the instance.
-
Enhance key navigation in OID list widgets like the Search or Memory window.
-
Enhance drawing the waveform for non existing value changes.
-
Enhance the EDIF parser and use the base name for port bus objects.
RTLvision PRO 2024.0.1
This is a maintenance release, the following features were fixed and/or added:
-
Add the gui setting 'source:enableColors' to disable highlight colors in the Source window.
-
Enhance the LEF reader to store attributes of all macro class types.
-
Enhance the
spice
lines of the symbol library format to support partial attribute mapping with single quotes. -
Fix opening the documentation on Windows.
RTLvision PRO 2024
This is a major release, the following features were fixed and/or added:
-
Add support to add a vector to a group in the Wave window.
-
Create group at the current location in the Wave window.
-
Add the GUI API command
gui wave registerTreeStateChangedCallback
to register a callback that is evaluated every time before the state of the loaded signal tree in the Wave window will change. -
Add the GUI API command
gui wave signalState
to get the open/close state of a signal. -
Add the GUI API command
gui wave registerSelectionChangedCallback
to register a callback that is evaluated every time the selection of the loaded signal list in the Wave window has changed. -
Speed-up annotating Wave values in the Schem and Cone window.
-
Add the GUI API command
gui window registerNameChangedCallback
to register a callback that is evaluated every time when the name of a window has changed. -
Add the GUI API command
gui window registerMoveCallback
to register a callback that is evaluated every time when a window is moved to another pane. -
Add new option to show the original RTL name without parameters in the tree.
In addition, the following features were fixed and/or added:
-
Enhance the
gui cone load
andgui cone append
functions and add the option-foldModules
to fold all loaded module instances.
RTLvision PRO 2023.1.7
This is a maintenance release, the following features were fixed and/or added:
-
Preserve the displayed window name while detaching a window with a custom name.
-
Speed-up the GUI API command
gui extract setData
.
RTLvision PRO 2023.1.6
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 2023.1.5
This is a maintenance release, the following features were fixed and/or added:
-
Enhance the GUI API command
gui busy
to avoid "recursive call" errors. -
Add support to set an attribute format string at virtual objects using the @nlv:virtual meta attribute.
-
Fix the GUI API commands
gui schem contents
andgui cone contents
filtering for pin, pinBus and netBus object types. -
Enhance the LEF/DEF reader to store more attributes at each generated database object.
RTLvision PRO 2023.1.4
This is a maintenance release, the following features were fixed and/or added:
-
Fix updating the object attributes of the Infobox window.
-
Fix scanning the display attribute format string in the Select Attributes dialog.
-
Fix the GUI API command
gui busy false
for new toplevel windows created while in busy mode. -
Includes the Verific February 2024 Software Release.
RTLvision PRO 2023.1.3
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 2023.1.2
This is a maintenance release, the following features were fixed and/or added:
-
Goto sets selection in Source window.
-
Includes the Verific January 2024 Software Release.
RTLvision PRO 2023.1.1
RTLvision PRO 2023.1
This is a major release, the following features were fixed and/or added:
-
Speed-up the schematic generation for circuits with very wide net buses.
-
Speed-up scrolling in the Wave window.
In addition, the following features were fixed and/or added:
-
Add the command line option -wdbTop with better top guessing which replaces the deprecated -vcdTop option.
-
Extend the Waveform Database and add support to store direction information at a variable.
-
Enhance the GUI API command
gui wave
and add the new sub-command getSignals to return the list of signals loaded into the Wave window. -
Enhance the GUI API command
gui wave
and add the new sub-commands setNameMarker and clearNameMarker to set or remove a marker at the signal name in the Wave window. -
Add the GUI API command
gui wave setHighlightTimes
to provide highlight information for a list of objects at once. -
Add the GUI API command
gui wave registerAddSignalCallback
to register a callback that is evaluated every time before new signals are added to the Wave window. -
Add the possibility to address netBus members in the Wave window.
-
Detaching a Wave window preserves the loaded signals.
-
Fix crash in the WDB reader function oid2varid.
-
Includes the Verific December 2023 Software Release.
-
Enhance the simple mode of the Search window and try to find an exact match first before matching the given glob style pattern.
-
Enhance the GUI API and add the new commands
gui tooltip registerCallback
andgui tooltip removeCallback
to set and remove a callback procedure to display additional text in the tooltip window. -
Fix the GUI API command
gui settings changed
to not alter customizations in the Highlight menu. -
Add the GUI API commands
gui schem registerChangedCallback
andgui schem removeChangedCallback
to register a callback procedure that is called if the contents of the Schem window has changed. -
Enhance the GUI API command
gui popup
and add the new sub-commands similar togui menu
. -
Enhance the
-fixed
option of the GUI API commandsgui window new
andgui window insertCustomWidget
to also disable renaming of the tab. -
Enhance the GUI API commands
gui tab setAvailableClasses
andgui tab getAvailableClasses
add the option-tabwindow
to set the available classes only in the given Tab window. -
Enhance the GUI API command
gui tab setAvailableClasses
to not show the plus menu if the given list of available classes is empty.
RTLvision PRO 2023.0.2
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific November 2023 Software Release.
-
Nets with the
hide
flag are also hidden in the Tree window.
RTLvision PRO 2023.0.1
This is a maintenance release, the following features were fixed and/or added:
-
Fix a crash showing a search result if the database was opened in
quick mode
. -
Add the
-autoPopulate
option to the database API commandsget_inst
andget_net
to automatically populate modules if the database was opened inquick mode
. -
Enhance the GUI API command
gui window insertCustomWidget
and add the option -fixed to disable the close button. -
Fix the database command oid createFromString for cases where the object name includes the given hierarchy separator character.
-
Fix the Cone Extraction API option "-emptyModAsPrim".
RTLvision PRO 2023
This is a major release, the following features were fixed and/or added:
In addition, the following features were fixed and/or added:
-
Add the command
gui dnd registerCallback
to the GUI API. -
Enhance the GUI API command
gui window new
and add the option -fixed to disable the close button. -
Enhance the GUI API command
gui wave
and add the new sub-commands to convert OIDs into VarIds and vice versa. -
Add the option to auto populate the Tree if a binfile was opened in
quick mode
. -
Fix the horizontal scrollbar of the Tree window.
-
Enhance the open waveform database dialog and add the option to open the Wave window after reading the input file.
-
Includes the Verific September 2023 Software Release.
-
New database API command $db get_load to get the load of a net or signal.
RTLvision PRO 2022.3.3
This is a maintenance release, the following features were fixed and/or added:
-
All GUI components use the @name attribute value as the displayed object name.
-
Add the command
gui wave getTimeRange
to the GUI API. -
Fix update in the Tree after the database was populated.
-
Enhance the database API command oid print and add support to use the @name attribute as the displayed object name.
RTLvision PRO 2022.3.2
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific August 2023 Software Release.
-
Enhance support for attributes to control the styling of schematic objects.
RTLvision PRO 2022.3.1
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific July 2023 Software Release.
RTLvision PRO 2022.3
This is a major release, the following features were fixed and/or added:
-
This is the first RTLvision PRO release to support the Altair Units license model.
-
Enhance the symbol shape for hierarchical instances and allow pins to be placed at the top and bottom.
In addition, the following features were fixed and/or added:
-
Enhance storing a symbol library in the database.
-
Includes the Verific June 2023 Software Release.
-
Embedded macros can be processed with the "Strict Language Checking" option disabled (command line: -pedantic off).
-
Fix syntax error in the header section reported by the VCD reader.
RTLvision PRO 7.2.12
RTLvision PRO 7.2.11
This is a maintenance release, the following features were fixed and/or added:
-
Speed-up the Search function.
RTLvision PRO 7.2.10
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific April 2023 Software Release.
-
Extend the GUI API and add
gui wave saveSignals
to save all signals loaded in the Wave window to a file. -
Extend the GUI API and add
gui wave loadSignals
to load signals into the Wave window from a file. -
Extend the GUI API and add
gui window setLabel
to set the display label of the tab for a given window.
RTLvision PRO 7.2.9
This is a maintenance release, the following features were fixed and/or added:
-
Enhance the $db write tcl command to support writing custom symbol shapes for I/O ports and P/G stubs.
-
Enhance the cadence2symlib.il script for guessing netSet type bulk name attributes.
-
Includes the Verific March 2023 Software Release.
-
Fix a crash rendering the symbol from the Boolean equations of a multi output function cell.
-
Extent the Popup menu of the Wave window and add the option to copy the value of the selected signal.
- and entries in the
-
Fix application error while moving a custom group in the Wave window.
-
Fix selecting multiple signals in the signal selection of the Wave window.
RTLvision PRO 7.2.8
This is a maintenance release, the following features were fixed and/or added:
-
Fix a crash in the spos database API, when adding a source position to a file that has been deleted from the database.
RTLvision PRO 7.2.7
RTLvision PRO 7.2.6
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 7.2.5
This is a maintenance release, the following features were fixed and/or added:
-
Enhance the cadence2symlib.il script and improve the access direction guessing to avoid overlapping wires.
-
Add a horizontal scrollbar to the Tree window.
-
The Verilog netlist parser uses cells created by the Liberty reader for blackbox instances.
-
Add the new command line option
-skipMuxDetection
to the Liberty reader. -
Avoid a bad terminal order in the symbol shape created by the Liberty reader.
RTLvision PRO 7.2.4
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific December 2022 Software Release.
-
Add support to display graphical comments for net and netBus objects in the Schem and Cone window.
-
Fix a crash if an incompatible binfile is opened in quick mode.
-
Enhance the database clone API to ignore spos errors and continue cloning the structure.
-
Increase the maximum number of supported source files.
-
Enhance the "$db cone" API command and add the option "-filterLogicalInvalid" to ignore logically invalid input paths at gates with constants.
RTLvision PRO 7.2.3
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific November 2022 Software Release.
-
Add the new command line option -localincdir to specify an include directory only valid for the next file on the command line.
-
Add the new command line option -localdefine to specify a macro only valid for the next file on the command line.
-
Windows binaries are now signed.
RTLvision PRO 7.2.2
This is a maintenance release, the following features were fixed and/or added:
-
The Search window can automatically populate a binfile opened in quick mode.
-
Remove Hierarchy singlizes only the selected module if Signal Mode is turned off.
RTLvision PRO 7.2.1
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific October 2022 Software Release.
-
Add instance orientation and port placement information to the schematic cache.
-
Reduce the memory consumption of the fast flat.
RTLvision PRO 7.2.0
This is a major release, the following features were fixed and/or added:
-
Add an alternative, more colorful icon style which can be enabled via the Preferences dialog.
-
Speed-up opening a binfile.
-
Add flat ID support for nets, netBuses and signals including C- and Tcl-APIs.
In addition, the following features were fixed and/or added:
-
Includes the Verific September 2022 Software Release.
-
Add command line option to load project file via
-project
. -
Make mouse/mouse wheel bindings configurable via the Preferences dialog.
-
The display of database object and GUI help tooltips can be configured individually in the Preferences dialog.
-
Add popup menu to the Source window’s "Source file" combobox to copy the file path.
-
Remove obsolete Tcl-API functions related to "mmap", e.g.
zdb hasmmap
,$db mmap …
, etc. -
Remove obsolete C-API functions related to "mmap", e.g.
zPreloadDataBase
,zGetDatabaseUsedSize
, etc. -
Enhance the WDB API to support adding scopes and signals to a loaded waveform database.
-
Enhance the progress bar of the Cone Extract dialog.
-
Add the API command
gui window setToplevelTitle …
to explicitly set the title of top-level windows. -
Add the API commands
gui tab getAvailableClasses
andgui tab setAvailableClasses …
to get/set the list of window classes that can be created using the Tab window’s "+" button. -
Enhance the Save Schematic as Image dialog and add the option to copy a PNG image to the clipboard.
-
Change the progress dialog to a top-level window, so that it appears above all other windows.
RTLvision PRO 7.1.9
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific July 2022 Software Release.
RTLvision PRO 7.1.8
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific June 2022 Software Release.
-
Fix EDIF parser for sub-ports of portBundle instances in joins.
RTLvision PRO 7.1.7
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific May 2022 Software Release.
RTLvision PRO 7.1.6
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific April 2022 Software Release.
-
Add new settings to overwrite the time marker and cursor label of the Wave window using the
gui settings
API. -
Line wrapping in the tooltips' source previews.
-
Wrap & scroll long title labels in the Source window’s Action Bar.
-
Add API function
gui window isStatusPaneVisible
. -
Add API function
gui window getPaneSashes
. -
Add API functions
gui window getState
andgui window setState
. -
Display user defined highlight colors in the color selection of the toolbar and main menu.
RTLvision PRO 7.1.5
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific March 2022 Software Release.
-
Add popup menu to the Source window’s "Source file" combobox to copy the file path.
-
Enhance error handling for non existing files in the Liberty parser.
-
The
gui wave show
command no longer apply visual feedback for the added signals. -
Also restore symbol libraries with the
Restore Settings
option in the File Open dialog. -
Enhance the
symmap
mapping for symbol libraries and add support to define a port name mapping. -
Enhance the cone extraction API and add the option to continue tracing the cone through power/ground nets.
-
Fix opening the 'Report Instance Count' dialog if no module is displayed in the Schem window.
-
Enhance the "Expand Nets" feature of the Cone window and highlight all added objects with the goto color.
RTLvision PRO 7.1.4
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific January 2022 Software Release.
RTLvision PRO 7.1.3
RTLvision PRO 7.1.2
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific November 2021 Software Release.
-
Add support to drag results from the inline search result window in the Schem and Cone window.
-
Sort the result list displayed in the inline search result window in the Schem and Cone window.
-
Clear the inline search result list of the Schem and Cone window when loading a new design.
-
Fix the display order of the Plugins list in the Plugins dialog.
-
Fix the option to Singlize the parent module in the "Create Hierarchy" dialog.
-
Restrict effect of double clicking items in the Tree window to the current top-level window.
-
Add support for permanent zoom independent graphical marks in the Schem and Cone window.
RTLvision PRO 7.1.1
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 7.1.0
This is a major release, the following features were fixed and/or added:
-
Use a new compressed database binfile format.
-
Add the option to open a binfile in quick mode.
-
Add the capability to search the content loaded to the Schem and Cone window.
-
Enhance the jump to prev/next value change in the Wave window to stop at a given value.
-
Enhance the Infobox: Add filter to display chosen range of buses.
-
Make all GUI fonts configurable via the Preferences dialog.
-
Plugins that create a tabbed window can be disabled by closing the tab.
-
Add support for VHDL 2019.
In addition, the following features were fixed and/or added:
-
Indicate additional clock sources in the Clock Domain Analyzer.
-
Add option to $db write to disable writing
`celldefine
and`endcelldefine
. -
Remove the obsolete parameter
-readonly
from thezdb open
command. -
Add
bin
directory to provide platform independent access to all tools. -
Add the option to automatically highlight objects added to the Cone window.
-
Add target Cone window submenu to all Cone popup menu entries.
-
Add keyboard shortcuts kbd:\[Ctrl-z\] and kbd:\[Ctrl-y\] for history navigation in the Schem, Cone and Source window.
-
Format keyboard sequences in menus and the documentation more naturally.
-
Fix placement of the Magnify window.
-
Includes the Verific October 2021 Software Release.
Note
|
Version 7.0 of RTLvision PRO introduces a number of user interface changes. We are summarizing them in a short demo video. You may want to watch the video to get familiar with the changes. |
RTLvision PRO 7.0.18
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific September 2021 Software Release.
-
Fix scrolling in the Console window.
-
Remove escaping from multi-dimensional port names created by the Verilog netlist parser.
-
Add progress updates to
$db oper singlizeTree …
. -
Fix symbol mapping.
RTLvision PRO 7.0.17
This is a maintenance release, the following features were fixed and/or added:
-
Fix SPOS for ZDBs loaded from SDBL files via the
-sdbl
command line option. -
Fix setting port directions from a symbol library at top level modules.
RTLvision PRO 7.0.16
This is a maintenance release, the following features were fixed and/or added:
-
Fix progress bar of the Liberty parser.
-
Allow for more flexible bus member name syntax.
-
Fix output image dimensions in the Save Schematic as Image dialog.
-
Add
$db oper flattenSubtree
/zOperFlattenSubtree
.
RTLvision PRO 7.0.15
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific August 2021 Software Release.
-
Correct the progress bar for saving a ZDB binfile.
-
Inline error messages in the Cone Extraction dialog.
-
Enhance the cadence2symlib.il script to avoid bad parameter type cast for cyclic types.
-
Enhance the cadence2symlib.il script and avoid expressions for the default value in the model name mapping field.
RTLvision PRO 7.0.14
This is a maintenance release, the following features were fixed and/or added:
-
Fix the
gui wave registerMarkerChangedCallback
to append the time value including the unit.
RTLvision PRO 7.0.13
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific July 2021 Software Release.
RTLvision PRO 7.0.12
This is a maintenance release, the following features were fixed and/or added:
-
Add a number of new
gui window …
sub-commands:gui window createToplevel
,gui window getMainVerticalPane
,gui window createHorizontalPane
,gui window createTab
,gui window setPaneSashes
,gui window maximize
,gui window unmaximize
,gui window isMaximized
,gui window setGeometry
,gui window hide
,gui window unhide
. -
Add a
-tabwindow $w
option togui window insertCustomWidget …
to place the custom widget in an arbitrary Tab window. -
Add a section about customizing the GUI layout to the GUI Customization Tutorial.
-
Add fix the evaluation order of "Window Created" callbacks (set with
gui window registerCreatedCallback
). -
Extend the GUI API and add
gui attribute registerGetCallback
andgui attribute removeGetCallback
to register and remove a callback to get attributes from an external source to be displayed in OID Tooltips and in the attributes list of the Infobox. -
Fix crash in the Verilog netlist parser after a syntax error in the instance connectivity.
-
Allow for arbitrarily sized prefixes when using
$db oper rmhier …
.
RTLvision PRO 7.0.11
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific June 2021 Software Release.
-
Fix crash when calling
$db find
with an empty-path
.
RTLvision PRO 7.0.10
This is a maintenance release, the following features were fixed and/or added:
-
Clear placeholder text of filter text fields before pasting with the middle mouse button.
RTLvision PRO 7.0.9
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific May 2021 Software Release.
-
Fix restoring of Bookmarks via
gui bookmark set …
. -
Fix adding Bookmarks using the keyboard shortcut.
-
Add
gui cone registerChangedCallback
andgui cone removeChangedCallback
. -
Fix the
gui schem fold/unfold
API commands for unsupported OIDs. -
Add new command line option
-pedantic
to the Verilog netlist parser to control suppression of warnings for unsupported behavioral Verilog syntax and to degrade warnings from Verilog libraries. -
Add
gui wave registerTimeRangeChangedCallback
andgui wave removeTimeRangeChangedCallback
.
RTLvision PRO 7.0.8
This is a maintenance release, the following features were fixed and/or added:
-
Add
gui imageset load
to load a set of images suitable for the toolbar. -
Fix image loading in
gui toolbar addButton
andgui toolbar addCheckButton
. -
Add
gui toolbar children
to get the children of a specified toolbar. -
Delay emitting the "window created" event until the corresponding window is fully created.
-
Add
gui settings save …
andgui settings load …
.
RTLvision PRO 7.0.7
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific April 2021 Software Release.
-
Fix setting the outline color for instances.
-
Add the option to set the outline color for primitive and module instances separately.
-
Add
gui window registerCurrentChangedCallback
andgui window removeCurrentChangedCallback
. -
Add
gui window registerCreatedCallback
andgui window removeCreatedCallback
. -
Add
gui window registerDestroyedCallback
andgui window removeDestroyedCallback
. -
More robust GUI layout loading if RTLvision PRO is started in iconified mode (
-iconify
). -
Add popup menu option to clone windows.
-
Don’t allow for detaching default windows.
-
Speed-up detaching, attaching and cloning of Schem windows.
-
Add option to show the selected module in an existing or new Schem window to the Tree’s popup menu.
-
Fix "integer value too large" error in the Waveform viewer.
-
Restore the state of the Wave window after loading a new design database.
-
Keep the content of all Schem windows stable when toggling Blocklevel mode.
RTLvision PRO 7.0.6
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific March 2021 Software Release.
-
Fix the
$db setPrimitive
function.
RTLvision PRO 7.0.5
This is a maintenance release, the following features were fixed and/or added:
-
If the restored GUI layout is missing any standard windows (Schem, Cone, Source, Tree, Mem), force a reset to the default layout.
-
Fix disabling a Plugin to avoid a Tcl error while quitting the RTLvision PRO GUI.
-
Enhance the Verilog netlist parser to support built-in gate names as escaped identifiers.
RTLvision PRO 7.0.4
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific February 2021 (version b) Software Release.
-
Add the command line option
-topLibrary
to specify the library containing the top-level design. -
Speed-up loading instances with a large number of pins into the Cone window.
-
Fix the "-shortestPath" option of the "$db cone" API command for pin and port targets.
-
Add documentation for the
$db htree
command.
RTLvision PRO 7.0.3
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific February 2021 Software Release.
-
Fix matching signal from a waveform database to the loaded netlist database.
-
Add the option to keep the current state of the Wave window when reloading the previous waveform database file.
-
Include cursors to Wave window bookmarks.
-
Add support for the Verilog 2001 Attribute syntax to the Verilog netlist parser.
-
Restore default window contents after loading a new GUI layout.
RTLvision PRO 7.0.2
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific January 2021 Software Release.
-
Enhance matching signal from a waveform database to the loaded netlist database.
-
The
registerMarkerChangedCallback
callback is executed after all internal callbacks. -
Extend the GUI API with commands to register and remove callbacks to be executed when the values displayed in the Wave window are updated.
-
Avoid Tcl error when using the "Comprehensive Mode" of the "Clock Domain Analyzer" with many domains.
-
Fix the "Expand Nets" feature of the Cone window for dashed netBus objects.
-
Options specified on the command line can overwrite settings restored from the automatically saved workspace.
RTLvision PRO 7.0.1
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific December 2020 Software Release.
-
Display module parameters in the Tree view and in tooltips.
-
Fix modeless dialog windows to stay on top of the main GUI.
-
Enhance the "Do Not Display Hierarchy Boxes" feature of the Cone window and use the hiersep character to separate the hierarchy of the flat object name.
-
Fix the "Expand Nets" feature of the Cone window in combination with the "Do Not Display Hierarchy Boxes" feature.
-
Fix help button in the <manual#Photo, Save Schematic as Image>> dialog.
-
Extend the GUI API with commands to register and remove callbacks to be executed when the time marker in the Wave window changes.
-
Find API: also apply the
-cellref
parameter when searching for pins/ports/nets. -
Search window: also apply "Cell Pattern" of the advanced options when searching for pins/ports/nets.
RTLvision PRO 7.0.0
This is a major release, the following features were fixed and/or added:
-
Update the GUI architecture to allow vertical and horizontal splitting of the panes with an arbitrary number of tabs.
-
The last GUI layout is automatically restored at startup.
-
All global settings are automatically saved as a Workspace file and restored at startup.
-
All design specific settings can be saved as a Project file.
-
Use the left mouse button as the default for Drag & Drop.
-
New Connectivity Browser showing the detailed connectivity of a net.
-
Enhance the look and feel of the Statusbar.
-
Messages are now displayed in the new Message View window.
-
Each Schem window displays the path to the current module in a breadcrumb style tree.
-
Decouple loading a waveform database from the Wave window.
-
Introduce a new GUI API.
In addition, the following features were fixed and/or added:
-
Add new option to $db write command which exports cells in the liberty format.
-
Enhance the Export Netlist dialog: add option to write corresponding liberty.
-
Use the system browser as the default help viewer.
-
Add option in Tree Popup Menu to show all available top modules.
-
Add new option in the Preferences dialog to show an instance based view in the Tree .
-
Fix the -y option of the Verilog netlist parser to read only the used library files.
-
Enhance port naming for blackboxes of the Verilog netlist parser.
-
The default compile mode for all Verilog files is MFCU.
-
Disable the relaxed language checking mode of the RTL parser by default.
-
Add the option to toggle the relaxed language checking to the "Read RTL" dialog.
-
Unify and enhance the way how a parser resolves duplicate cell definitions (e.g. after merging two databases).
-
Mouse click keyboard modifier to append objects to the selection in the Schem and Cone window is now the control key.
-
Extend the GUI API and add commands to work with the global settings.
Note
|
The FlexNet package of this major release was upgrade to version 11.16.3.
It is required to update the vendor daemon ( |
RTLvision PRO 6.12.26
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific November 2020 Software Release.
-
Fix matching multi dimensional buses from VCD to the loaded design in the Wave window. Wave
-
Disable the "Create Hierarchy" Popup menu if instances with a different path are selected.
-
Preserve net values when creating artificial hierarchy.
-
Fix invoking an external editor on Windows (do not force adding the .exe extension).
RTLvision PRO 6.12.25
This is a maintenance release, the following features were fixed and/or added:
-
Fix bad name of first netbus member in the Infobox
-
Enhance cadence2symlib.il script for batch usage.
-
Fix bad placement of menus and dialogs on displays that span multiple screens with a different resolution.
RTLvision PRO 6.12.24
This is a maintenance release, the following features were fixed and/or added:
-
The Liberty parser uses the -spos command line option to toggle the creation of file and line attributes.
-
Fix displaying modules marked as encrypted.
RTLvision PRO 6.12.23
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific October 2020 Software Release.
-
Modules with the attribute "@encrypted=1" display a pad lock icon in the schematic view.
-
Objects of any type can be dropped to the Tree window to open the module containing the dropped object.
-
Delay loading object details in the Infobox to avoid double click bug.
-
Extend the GUI API and add Gui:AddToolbarCheckbutton to add a checkbutton to a toolbar.
-
Extend the GUI API and add Gui:AddToolbarItem to add a custom item to a toolbar.
-
Enhance the $db write verilog and $db write spice and add comment with source information.
-
New Liberty parser option -storeGroup to specify a group name pattern for groups to be store as attributes in the created ZDB binlib.
RTLvision PRO 6.12.22
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 6.12.21
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific September 2020 Software Release.
-
The Verilog netlist parser sets the wire flag at all nets of the type wire.
-
The Verilog netlist parser no longer creates an artificial level of hierarchy for arrayed instances.
RTLvision PRO 6.12.20
This is a maintenance release, the following features were fixed and/or added:
-
The cadence2symlib.il script continues with the symbol conversion if a cell has no symbol view.
-
Add support for arrayed blackbox instances to the Verilog netlist parser.
-
Avoid syntax error reported by the Verilog netlist parser reading some System Verilog port declarations.
-
Better handling of parameterized modules in the Source window.
-
Only update the Infobox if a new object has been selected.
RTLvision PRO 6.12.19
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific August 2020 Software Release.
-
Extend the GUI API and add Gui:SchemUnfold to expand a hierarchical instance in the Schem window.
-
Extend the GUI API and add Gui:SchemFold to collapse a hierarchical instance in the Schem window.
-
Extend the GUI API and add Gui:SchemIsFolded to check the fold state of a hierarchical instance in the Schem window.
RTLvision PRO 6.12.18
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 6.12.17
This is a maintenance release, the following features were fixed and/or added:
-
Display the instances of all parameterized modules in the Source window's action bar.
-
Use meaningful default values for reading slib libraries without a configuration file.
-
Add new command line option -forceSymlib to overwrite existing symbols.
-
Avoid unexpected horizontal scrolling in the Wave window while scrolling vertically.
-
Always show the expand or collapse icon of a vector signal in the Wave window.
-
Extend the GUI API and add Gui:WaveShowMembers to expand or collapse the member view of a netBus in the Wave window.
RTLvision PRO 6.12.16
This is a maintenance release, the following features were fixed and/or added:
-
Includes the Verific July 2020 Software Release.
-
Fix wrong connection count in the Infobox.
-
Avoid bad optimization in the Infobox.
-
Avoid warning "Object does not match loaded design" in the Infobox.
-
Fix crash/assertion in the Infobox.
-
Allow for custom actions when clicking on display graphical object comments.
-
Add missing cone arcs for the
WIDE_PRIO_SELECTOR
andWIDE_CASE_SELECT_BOX
primitives. -
Add a "Top Pattern" option to the advanced Search window to restrict the search to a specific top module.
-
Speed up the Search window by searching for direct, exact matches first.
-
Print a meaningful error message when the X11 display cannot be opened.
-
Clicking the "Hierarchy.Signal Name" label in the Wave window now switches the hierarchy path visibility of signal names.
-
Add the option
-noError
to thezdb source
command to ignore errors in the sourced Tcl script.
RTLvision PRO 6.12.15
This is a maintenance release, the following features were fixed and/or added:
-
Fix invoking the Obfuscate Plugin interactively.
-
Fix bad initial filename in the "Save Cone As …" file selection dialog.
-
Fix "load inst" database API command to accept the deprecated pin flag "neg".
-
Fix search across files being stuck at the current file.
-
Add bus-width markers.
RTLvision PRO 6.12.14
This is a maintenance release, the following features were fixed and/or added:
-
Fix segfault in the Infobox converting a primitive pin to a port.
-
Enhance the "Guess Instance Array" feature to select either primitive or hierarchical instances.
RTLvision PRO 6.12.13
This is a maintenance release, the following features were fixed and/or added:
-
Enhance instance names generated by the "Guess Instance Array" function.
-
Enhance instance names generated by the "Compact Schematic" function.
-
Avoid "integer value too large to represent" error while displaying a source file (only on some displays).
-
Fix the "Derive Window Title from the Toplevel Module" option if a binfile is loaded in RTLvision PRO.
-
New flat view API command flatoomr foreach to loop over all out of module references (OOMRs).
RTLvision PRO 6.12.12
This is a maintenance release, the following features were fixed and/or added:
-
Fix the RTL parser option to write a single Verilog file of the preprocessed input files.
-
Fix error when parsing SystemVerilog files into multiply libraries in MFCU mode.
-
Fix open legacy ZDB binfiles.
-
Properly escape flat attributes when using $db write tcl -flat.
RTLvision PRO 6.12.11
This is a maintenance release, the following features were fixed and/or added:
-
Add the option to write a single Verilog file of the preprocessed input files to the RTL parser.
-
Increase the limit for elaborating for loops with non-constant conditions.
-
Relax the RTL parser for unknown system functions.
-
Fix Verilog cross module reference creation.
-
Fix importing a fileset in the File Open dialog with undefined file types.
-
Enhance support for parsing System Verilog assertions.
RTLvision PRO 6.12.10
This is a maintenance release, the following features were fixed and/or added:
-
The quick parse mode of the Liberty reader is now the default.
-
Add option to the "Diff" plugin to consider primitives with the same function to be equivalent.
RTLvision PRO 6.12.9
This is a maintenance release, the following features were fixed and/or added:
-
Enable hierarchy-tree based elaboration to allow for cross module references.
-
Fix adding multiple symbol libraries with a different case sensitivity option.
-
Enhance the tab completion in the Console window to support namespaces.
-
The visible hierarchy separator can be any character.
RTLvision PRO 6.12.8
This is a maintenance release, the following features were fixed and/or added:
-
The "load inst" database API command accepts deprecated pin flags for compatibility with legacy Tcl dumps of a ZDB database.
-
More robust handling of RTL operators with inconsistent interfaces.
-
Force update of net attribute display on wires if attribute values have changed.
RTLvision PRO 6.12.7
This is a maintenance release, the following features were fixed and/or added:
-
Fix the "Goto Target" command in the result list in the Cone Extraction dialog.
-
Liberty files read through the GUI no longer set the primitive function.
-
Fix error handling of the Liberty parser.
-
Enhance displaying net attributes at the wire.
-
Opening a new Workspace file no longer overwrites selected symbol libraries.
-
Extend the GUI API and add Gui:BookmarkGetAll and Gui:BookmarkSetAll to get and set the bookmarks of a window.
RTLvision PRO 6.12.6
This is a maintenance release, the following features were fixed and/or added:
-
Fix creating hierarchy using the
$db oper hierAdd …
.
RTLvision PRO 6.12.5
This is a maintenance release, the following features were fixed and/or added:
-
Fix segmentation fault in the Liberty parser if a function does not match the cell pins.
-
Extend the GUI API and add Gui:WindowToPhoto to save a window as displayed on the screen as a PNG photo image.
-
Add support to save the Clock Domain Analyzer results as a PNG image.
-
Fix reading repeated definitions in a VCD file header.
-
Fix error in the "Create Overview" plugin that prevented it from working if a tab other than the Schem tab was selected.
RTLvision PRO 6.12.4
This is a maintenance release, the following features were fixed and/or added:
-
Avoid error in toggling the nethide mode after creating an artificial hierarchy.
-
Extend the GUI API and add Gui:ClearSchematicCache to clear the internal schematic cache.
-
Avoid creation of duplicate module attributes when using Verilog-style attributes.
-
Fix the goto command for partly visible objects in the Schem and Cone window.
-
Add the option to filter the list of Plugins displayed in the Plugin dialog by tags added to the Plugin script header.
-
Speed up filling the Infobox by avoiding unnecessary communication with the license server when creating a new database.
-
Changed the spos API command lineno and add support for getting the line number of the last byte (filesize).
-
Enhance the documentation of the shipped Userware scripts.
RTLvision PRO 6.12.3
This is a maintenance release, the following features were fixed and/or added:
-
Add new command line option -userwareEval to pass a Tcl script as a string to be evaluated.
-
Extend the GUI API and add Gui:ShowReadDialog to show the read file dialog.
-
Fix processing the command line option -userwareArgs if specified multiple times.
-
Fix pasting text into the Console window (Windows platform only).
RTLvision PRO 6.12.2
This is a maintenance release, the following features were fixed and/or added:
-
Re-calculate the contents of an open Clock Domain Analyzer dialog when loading a new design.
-
Avoid errors in the Clock Domain Analyzer when the design hierarchy is modified via
$db oper addHier/rmHier …
. -
Avoid endless flickering of scrollbars in corner cases.
-
Fix keyboard shortcuts Control-PgUp and Control-PgDn in the Source window.
-
The RTL parser uses separate namespace for automatically generated primitives (created by elaboration/synthesis) to avoid collision with user defined cells.
RTLvision PRO 6.12.1
This is a maintenance release, the following features were fixed and/or added:
-
Avoid an empty Schem window after selecting a custom symbol shape.
-
Fix splitting of netBuses when creating hierarchies via
$db oper addHier …
in some special cases. -
Add the command line option
-dontElaborate
to skip the elaboration of specific modules; also extend the File Open dialog with a corresponding input field.
RTLvision PRO 6.12.0
This is a major release, the following features were fixed and/or added:
-
The default Verilog file type is now System Verilog.
-
Extend the database command $db write and add the option to save the contents of the database as a VHDL netlist.
-
Add the option to display graphical object comments in the Schem and Cone window.
-
The cone extraction no longer consumes stack memory.
In addition, the following features were fixed and/or added:
-
Fix segfault in the Infobox.
-
Enhance the setPrimitive API command and distinguish libcells and cells surrounded by the Verilog macros
`celldefine
and`endcelldefine
. -
Add the option to treat cell surrounded by the Verilog macros
`celldefine
and`endcelldefine
as primitives to the Preferences dialog. -
Change the zoom gesture in the Wave window to use the same mouse button as the zoom gesture in the Schem window.
-
Multiple opened Wave windows are now fully independent from each other.
-
Unify and enhance the way how a parser resolves duplicate cell definitions.
-
Enhance guessing instance arrays of hierarchical modules.
-
Expanding the Cone in Signal Mode no longer stops at inout ports.
RTLvision PRO 6.11.6
This is a maintenance release, the following features were fixed and/or added:
-
Do not display the tree expansion icon if there are no items below.
-
Add all files read by the RTL parser to the database.
RTLvision PRO 6.11.5
This is a maintenance release, the following features were fixed and/or added:
-
Fix bug in rotation via popup menu: displayed and stored result is now consistent.
-
Clear the current history if the "Remember History" feature of the Schem window is disabled in the Preferences dialog.
-
Clear the current history if the "Remember History" feature of the Source window is disabled in the Preferences dialog.
RTLvision PRO 6.11.4
This is a maintenance release, the following features were fixed and/or added:
-
Show an error dialog box on Windows if RTLvision PRO is invoked with wrong command line options.
-
Fix displaying the tooltips in the Infobox.
-
The checkbutton to "Create Named Connectivity"" in the Save Cone as Verilog dialog was missing.
-
Fix missing grey color for signals not matching the loaded design in the Wave window.
-
Fix missing highlight colors in the signal selection of the Wave window.
-
Fix missing highlight colors in the Tree window.
-
Clear the current history if the "Remember History" feature of the Cone window is disabled in the Preferences dialog.
-
Fix overwriting the displayed net name with an @name attribute.
RTLvision PRO 6.11.3
This is a maintenance release, the following features were fixed and/or added:
-
Avoid an error in the Schem window if a colon is used as the hierarchy separator.
-
Enhance the Goto command and remove all existing goto highlights are deleted before calling the next Goto command.
-
Enhance the "Guess Inst Arrays" feature to also group instance based on their names ignoring the connectivity.
RTLvision PRO 6.11.2
This is a maintenance release, the following features were fixed and/or added:
-
Extend the GUI API and add the command Gui:GetLastSelection to get the most recently selected list of objects across all Windows of all Visualizers.
-
Extend the GUI API and add the command Gui:WaveCustomizeValueColor to set a custom color for a specific signal and value in the Waveform window.
-
The value changes in the Waveform window are drawn slightly thicker.
-
Add a new GUI Customization Tutorial.
RTLvision PRO 6.11.1
This is a maintenance release, the following features were fixed and/or added:
-
Correct error message reported by the license sub-system in case of an error.
-
Fix several crashes if objects are displayed in the Infobox.
-
Add a popup menu to the detail view of the Infobox to crossprobe a selected object to other views or load it to the Cone window.
-
Add a double click binding to the detail view of the Infobox to crossprobe a displayed object to other views.
RTLvision PRO 6.11.0
This is a major release, the following features were fixed and/or added:
-
Add the option to split the right side of a Visualizer.
-
Extend the GUI API and add the command Gui:SplitVisualizer to split the right side of a Visualizer.
-
Add the option to decorate net objects with additional logical direction indicator icons.
-
Drop support for the Solaris platform.
-
Drop support for the 32 Bit version of the Linux and Windows platform.
In addition, the following features were fixed and/or added:
-
Add a new Cone toolbar button to expand all partially loaded nets and netBuses.
-
The Clock Domain Analyzer displays the physical number of connected clock pins.
-
Add the command line option
-ignoreUnit
to skip the elaboration of specified VHDL unit; also extend the File Open dialog with a corresponding input field. -
Fix the GUI API command Gui:ShowSearch>> if the Search tab is already created but not raised.
-
Fix restoring the Infobox state from a Workspace file.
-
Always show the top level schematic after startup in fullfit mode.
-
Speed-up the GUI startup time if the network connection to the license server has a high latency.
Note
|
RTLvision PRO 6.10 is the last release that will support the Solaris operating system. Concept Engineering will continue to support Windows and Linux operating systems. |
Note
|
RTLvision PRO 6.10 is the last release that will provide a 32 bit version for the Windows and Linux operating systems. Concept Engineering will continue to provide a 64 bit version. |
RTLvision PRO 6.10.9
This is a maintenance release, the following features were fixed and/or added:
-
Fix displaying the default attributes for MOS devices.
-
Honor the special value 0 of the Big Module Limit when inline-expanding instances.
RTLvision PRO 6.10.8
This is a maintenance release, the following features were fixed and/or added:
-
Avoid Tcl error using the Popup menu entries "Cone/Load Cone" and "Cone→Append Cone".
-
Add missing new line character to the default format string for displaying M factors.
RTLvision PRO 6.10.7
This is a maintenance release, the following features were fixed and/or added:
-
The -help output is always printed on stdout.
-
Enhance the GUI API commands Gui:LoadCone and Gui:AppendCone and add the option to highlight the loaded objects using the goto color.
-
The objects added to the Cone window using the "Extract To" feature are highlighted in the goto color.
RTLvision PRO 6.10.6
This is a maintenance release, the following features were fixed and/or added:
-
Clarify UI elements in the Cone Extract dialog.
-
Add the option to specify the initial directory for all file dialogs in the GUI.
RTLvision PRO 6.10.5
This is a maintenance release, the following features were fixed and/or added:
-
Allow for drag & drop of text/objects from other applications to the Search window.
-
Fix saving/loading "Last Recently Used" entries to/from Workspace files.
-
Add support for instance and cell attributes to the Verilog netlist parser.
RTLvision PRO 6.10.4
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 6.10.3
This is a maintenance release, the following features were fixed and/or added:
-
Do not show source code preview in the tooltip for large files.
-
Assign a minimum size to each paned window to avoid zero size panes.
-
Avoid flickering when additional paned windows are shown or hidden.
RTLvision PRO 6.10.2
This is a maintenance release, the following features were fixed and/or added:
-
Fix expansion of environment variables in Verilog options.
-
Avoid Tcl error while scrolling in the PrimeTime Plugin.
-
Fix "Last Recently Used" entries.
-
Add new Userware example "viewLiberty.tcl" to load an instance of each Liberty cell into the Schem window.
RTLvision PRO 6.10.1
This is a maintenance release, the following features were fixed and/or added:
-
Opening a settings file from the File menu invokes the parser to open the design data.
-
The sym2zdb command creates additional cells for each "spice" mapping.
RTLvision PRO 6.10.0
This is a major release, the following features were fixed and/or added:
-
Extend the GUI API and add the command Gui:AddToolbarButton to add custom toolbar buttons.
-
Extend the GUI API and add the command Gui:RemoveToolbarButton to remove a toolbar button.
-
The Infobox is now displayed in the bottom tab.
-
Enhanced the detail view of the Infobox to display graphical information of the selected object.
-
Enhance displaying net names at wires.
In addition, the following features were fixed and/or added:
-
A settings file that contains command line options can be opened from the File menu.
-
Add the option to connect nets by name to the File Open dialog.
-
Add the option to reset the settings of the File Open dialog.
-
Enhance the write verilog command and add the option to add function implementation that can be used to run simulation.
-
Add new database operator mergeParallelInst to merge parallel connected instances.
-
Enhance the "$db oid" command and add "access functions" for the pins of a netSeg "OID"
-
Add the option to create hierarchy for function/procedure calls (via "Add Hierarchy for Function Calls" in the File Open dialog, or via
-funcHier on
from the command line). -
Add the command line option
-connectByName <pattern>
to connect matching nets by name. -
History navigation in the Schem window now includes moved objects.
-
A selection in the Tree window updates the Last Selection label.
RTLvision PRO 6.9.12
This is a maintenance release, the following features were fixed and/or added:
-
Fix the GUI API commands to extend the main menu for menu items with an underscore character in the label.
RTLvision PRO 6.9.11
This is a maintenance release, the following features were fixed and/or added:
-
Fix and enhance the "Create Overview" plugin.
-
Add the option to select the source for the window title.
-
Avoid latency issues in the Console window by buffering messages.
-
Fix the advanced Search when the path pattern contains wildcards and the name pattern doesn’t.
-
Fix removing Trace Through Cells from the Preferences dialog.
-
Enhance drawing the Wave window with enabled font scaling.
RTLvision PRO 6.9.10
This is a maintenance release, the following features were fixed and/or added:
-
Ignore preceding white space in object names written to the netlist created by the "$db write spice" command.
-
Enhance the "$db write spice" command for constant assigns.
-
Fix truncation of the displayed design name in the title bar.
-
Fix missing design name after setting a new top module.
-
Fix memory leaks when using
$db cone
with the-shortestPath
option.
RTLvision PRO 6.9.9
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 6.9.8
This is a maintenance release, the following features were fixed and/or added:
-
The Verilog netlist parser continues reading a module after a parameter with a constant real value.
-
Enhance support for nested macros in Verilog netlists.
RTLvision PRO 6.9.7
This is a maintenance release, the following features were fixed and/or added:
-
Ignore trailing white space in object names written to the netlist created by the "$db write spice" command.
-
Don’t ignore bus range information when splitting netBuses via
$db oper addhier …
. -
Fix error messages when calling Tcl parser commands with invalid options.
-
Do not hide pins of instances with a symbol assigned in the Cone window.
RTLvision PRO 6.9.6
This is a maintenance release, the following features were fixed and/or added:
-
Fix connectivity issue in
zOperChangeSimilar
if the new cell is missing a portBus. -
Add a new option
-similar
to the$db oper changecellref
command. -
Validate the command line options specified in a file using the -argsFromFile option.
RTLvision PRO 6.9.5
This is a maintenance release, the following features were fixed and/or added:
-
Enhance the "$db write spice" command and add the option -nomodel to not add .model statements for models or empty sub-circuits for macro models in the generated netlist.
-
Fix bad netlist created by the "negedge" database operator.
-
Fix segmentation fault in the "negedge" database operator.
-
Fix segmentation fault in the "cloneDB" database command.
-
Fix the "cloneDB" database command to also copy netbus range information.
RTLvision PRO 6.9.4
This is a maintenance release, the following features were fixed and/or added:
-
Fix displaying a Source file not entered into the Spos database (e.g. by clicking on an error message in the Console window).
-
Fix history navigation in the Schem window for schematics with multiple pages.
-
New database operator "negedge" to remove all inverter directly connected to a clock, set or reset pin of a Flip-Flop and add a bubble to the pin.
-
The create compact RTL schematic option removes all inverter directly connected to a clock, set or reset pin of a Flip-Flop and add a bubble to the pin.
-
Allow for changing the file type and library of multiple files at once in the Open dialog.
RTLvision PRO 6.9.3
This is a maintenance release, the following features were fixed and/or added:
-
Avoid Tcl error while saving the schematic of the design hierarchy as bitmap images if there are unused modules in the database.
RTLvision PRO 6.9.2
This is a maintenance release, the following features were fixed and/or added:
-
Enhance the Cone extraction API and add the option to specify a custom callback to process the result list.
-
Fix default VHDL library path discovery when running the "rtl2zdb" executable without an absolute path.
-
Do not error out if a VHDL file is referring to a non-existing VHDL library.
-
Add support for the Verilog pragma "map_to_module".
RTLvision PRO 6.9.1
This is a maintenance release, the following features were fixed and/or added:
-
Avoid errors when scanning for plugins in unreadable directories.
-
Avoid error when opening connectivity lens within unfolded instance.
-
Add a configuration option for the used help browser.
-
Automatically synchronize bookmarks from multiple visualizers.
-
Re-add the slibconv symbol conversion utility.
-
Add the option to display the waveform of a signal multiple times in the Wave window.
-
Speed-up loading a design with many user defined primitives.
-
Avoid syntax error in the Liberty parser with tight colons.
RTLvision PRO 6.9.0
This is a major release, the following features were fixed and/or added:
-
Redesign the Search window which can now be opened from the toolbar and is shown in a bottom tab.
-
Improve the performance of the Search window.
-
New Blocklevel View that provides an abstract view of the original design.
-
Add zoom-in and zoom-out buttons to the toolbar of the Schem and Cone window.
-
Add support for setting additional cursor in the Wave window.
-
Add new command line option -userwareArgs to pass a list of options to the specified Userware script.
-
Add new command line option -L to specify the search order for Verilog libraries.
In addition, the following features were fixed and/or added:
-
Adapt output of EDIF export optimized for OrCAD to support OrCAD Capture versions 15.7 and greater.
-
Speed-up the API function busOf to get the netBus object for a given member net.
-
Remove deprecated commands
zdb license
,zdb message
,zdb msg
,zdb os
, andzdb progress
. Usezlicense
,zmsg
,zos
, andzprogress
instead. -
Add "Extract to Driver/Load" button to the Cone window’s toolbar.
-
Enhance the "$db flag -db" API command and add the option to process only the given object type.
RTLvision PRO 6.8.12
This is a maintenance release, the following features were fixed and/or added:
-
Enhance the GUI API command Gui:AddMenuSeparator and add the option to specify a separator ID.
-
Extend the GUI API and add the command Gui:RemoveMenuSeparator to remove a menu separator.
-
Extend the GUI API and add the command Gui:AddMainMenuItem to add a new main menu item.
-
Fix using Liberty cells with non Verilog conform bundle member names.
RTLvision PRO 6.8.11
This is a maintenance release, the following features were fixed and/or added:
-
Fix restore bookmarks in the Waveform viewer containing user defined groups.
RTLvision PRO 6.8.10
This is a maintenance release, the following features were fixed and/or added:
-
Fix crash in "$db clone" and "$db merge" database API commands.
-
Do not show error messages while typing into the time fields of the Waveform viewer window.
RTLvision PRO 6.8.9
This is a maintenance release, the following features were fixed and/or added:
-
Improve performance of the Search window.
-
Improve performance of the Tree window.
-
Fix computation of short file names for display in the Source window.
-
Improve performance of "Save Schematic as Image" function the Windows.
RTLvision PRO 6.8.8
This is a maintenance release, the following features were fixed and/or added:
-
Fix Schem, Cone and Source tab navigation using the keyboard binding.
RTLvision PRO 6.8.7
This is a maintenance release, the following features were fixed and/or added:
-
Enhance the "$db cone" API command and add the options "-flat" to exclude hierarchical pins in the result.
-
Fix pointer adjustment error when opening a binfile containing a symlib.
RTLvision PRO 6.8.6
This is a maintenance release, the following features were fixed and/or added:
-
Fix the Gui:RemovePopupEntry API command.
-
Fix the 'Cone > Load Cone to I/O' entry in the Popup menu (cone was appended instead of loaded).
-
Add the option to filter the list of Plugins displayed in the Plugin dialog and show only active or inactive Plugins.
-
Fix incremental navigation in the Cone window when tracing single bit nets through buses at hierarchy boundaries.
-
Extend the GUI API and add Gui:AddWaveAlias and Gui:WaveScopeUpdate to define an alias for an OID in a different scope.
RTLvision PRO 6.8.5
This is a maintenance release, the following features were fixed and/or added:
-
Fix progress bar updates when opening big binfiles.
-
Fix editing display attributes via the Select Attributes dialog.
-
Add conditional expressions to meta attributes.
-
Add support for port expressions to the Verilog netlist reader.
-
Fix displaying value changes at time 0 in the Waveform viewer.
-
The "create hierarchy" function can be called with an empty string to guess the hierarchy separator.
RTLvision PRO 6.8.4
This is a maintenance release, the following features were fixed and/or added:
-
Add regexp/glob/exact options to the Find in File feature of the Source window.
-
Fix Copy & Paste problem on X11.
RTLvision PRO 6.8.3
This is a maintenance release, the following features were fixed and/or added:
-
Enhance the initial file name of the save file dialog.
-
Fix displaying a highlighted time range in the Wave window.
-
Speed-up the database operator $db oper deletePort ….
-
Add the command line option -iconify to start the main window iconified.
-
Add missing symutils directory to the release package.
-
Fix creating the menu entry to access the symbol library export provided by the cadence2symlib.il script.
RTLvision PRO 6.8.2
This is a maintenance release, the following features were fixed and/or added:
-
Display objects loaded by the Clock Domain Analyzer dialog in fullfit mode.
-
Fix creation of SVA_POSEDGE primitives.
-
Add the option to close the currently loaded design database to the File menu.
RTLvision PRO 6.8.1
This is a maintenance release, the following features were fixed and/or added:
-
The Flat View API command flatattr delete now supports removing only one named attribute.
-
Fix loading Recent Userware scripts from the File menu.
-
Fix log file creation at start-up.
RTLvision PRO 6.8.0
This is a major release, the following features were fixed and/or added:
-
New Plugin dialog for easy access to Userware scripts to customize and extend the functionality of RTLvision PRO.
-
RTLvision PRO uses a global selection independent from the current Visualizer.
-
Extend the GUI API and add Gui:AddSubMenu, Gui:AddMenuCommand, Gui:AddMenuCheckbutton, Gui:AddMenuRadiobutton, Gui:AddMenuSeparator, Gui:RemoveMenuEntry, and Gui:CustomizeMenuEntry to extend and customize the RTLvision PRO menu.
-
Extend the GUI API and add Gui:RemovePopupEntry and Gui:RemoveCustomizePopup to remove custom Popup menu entries.
-
Update the lef2zdb parser to support LEF version 5.8.
-
Update the def2zdb parser to support DEF version 5.8.
-
New Liberty parser option -setPrimFunc to set a primitive function at the created cell.
-
Incompatible GUI API change: remove the "visu" parameter form the Gui:RegisterSelectionCallback and Gui:RemoveRegisteredSelectionCallback functions.
-
Extend the GUI API and add Gui:IsLoadedAsAPlugin, Gui:AddPluginConfig and Gui:GetPluginConfigValue to develop custom plugins.
-
Add support for 64-bit Windows.
In addition, the following features were fixed and/or added:
-
Enhance the GUI API command Gui:AddMainMenuButton and add the option to specify the position of the menu label in the main menu.
-
The Liberty parser recognizes more XOR and MUX functions from given Boolean equation.
-
Fix the Find in File feature of the Source window if searching across multiple files.
RTLvision PRO 6.7.9
RTLvision PRO 6.7.8
This is a maintenance release, the following features were fixed and/or added:
-
Fix crash in the Verilog netlist parser for escaped module names.
-
Fix buffer overflow in the Verilog netlist parser for large concatenations.
-
The Verilog netlist parser no longer adds an extra space to an escaped instance name with bit subscript characters.
-
Show all signals in the signal selection of the Wave window.
RTLvision PRO 6.7.7
This is a maintenance release, the following features were fixed and/or added:
-
Fix value change navigation in the Wave window after removing a signal.
-
Fix jump to previous value change in the Wave window if there is only one value change.
-
Add an option to skip control logic when displaying a clock domain.
-
Highlight objects added to the Cone window when performing the more operation on netbusses in signal mode.
RTLvision PRO 6.7.6
This is a maintenance release, the following features were fixed and/or added:
-
Exporting Verilog from the Cone window preserves net values.
-
Add the command line option "-storePlacement" to the DEF parser to control the storage of placement information as attributes (default is off).
-
Enable the command line option "-top" also for the DEF parser.
-
Fix error when opening the "Select Attributes dialog" multiple times.
-
Fix removing portbuses with zOperDeletePort / $db oper deletePort.
-
Strengthen zOperRemoveMOS / $db oper removeMOS to remove transistors with all pins shortened; improve documentation.
RTLvision PRO 6.7.5
This is a maintenance release, the following features were fixed and/or added:
-
Fix creation of unique names in the post process operator API commands.
RTLvision PRO 6.7.4
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 6.7.3
This is a maintenance release, the following features were fixed and/or added:
-
Add the option to disable net hiding in the Schem window using a double-click.
-
Add the option to always show the Find in File toolbar in the Source window.
-
The visibility of the Action Bar in the Source window is updated after changing the corresponding Preferences value or calling Gui:PersistChanged.
-
Increase the precision for values coming from the Liberty parser.
RTLvision PRO 6.7.2
This is a maintenance release, the following features were fixed and/or added:
-
Fix licensing issue using the C-API.
RTLvision PRO 6.7.1
This is a maintenance release, the following features were fixed and/or added:
-
Extend the GUI API and add Gui:RegisterHighlightChanged to register a customer specific callback that is called every time the highlight in the GUI changes.
-
Enhance the database operator "$db oper mergeRams" and add all RAM net attributes to the created RAM instance.
-
Enhance RAM detection of the RTL parser.
-
The RTL parser now returns with an error if no input file is given.
-
The RTL parser now returns with an error if no netlist was created.
-
The Verilog netlist parser now returns with an error if no input file is given.
RTLvision PRO 6.7.0
This is a major release, the following features were fixed and/or added:
In addition, the following features were fixed and/or added:
-
Only show signals with a value change in the signal selection of the Wave window.
-
Only show the hierarchy tree for a scope that contain signals with a value change in the Wave window.
-
Details of each result path displayed in the Cone Extract dialog can be shown.
-
Display the number of blackbox modules in the Report Instance Count dialog.
-
The Schem window maintains a global bookmark list instead of individual lists for each module.
-
Bookmarks in the Cone window can be recalled after clearing the Cone view.
-
Fix Drag & Drop and bookmarks in the Cone window when working with a multi-top design.
-
Fix calling bookmarks that belong to a different top module in the Schem window.
-
New database operator "$db oper mergeRams" to merge ReadPort and WritePort instances to one RAM instance.
-
Fix API command "$db oid print" for pin OIDs.
RTLvision PRO 6.6.7
This is a maintenance release, the following features were fixed and/or added:
-
Update values displayed in the Schem and Cone window if the time marker in the Wave window is removed.
-
Provide visual feedback to the restored bookmark in the Source window.
-
Avoid error in Schem history navigation.
RTLvision PRO 6.6.6
RTLvision PRO 6.6.5
This is a maintenance release, the following features were fixed and/or added:
-
Speed-up the Cone extraction Tcl API command.
-
Extend the GUI API and add Gui:RegisterAddCone to register a callback procedure that is called after objects have been added to the Cone window.
-
Extend the GUI API and add Gui:RemoveRegisteredAddCone to remove a previously register add Cone callback procedure.
RTLvision PRO 6.6.4
This is a maintenance release, the following features were fixed and/or added:
-
Enhance the Command Kit API and add print_oid_as_slash_path to print an OID as a slash separated name.
-
Enhance the Command Kit API and add print_oid_as_spef to print an OID as a SPEF name.
-
The Command Kit API function get_top_design no longer throws an error if no top module could be found.
-
Fix the Command Kit API function get_top_design guessing the design top if multiple tops are present.
-
Add the option to select the Verilog compile mode to the Open dialog.
-
Enable the command line option -compileMode for RTLvision PRO.
-
Fix the use of permanent highlight colors in the Schem and Cone window.
RTLvision PRO 6.6.3
This is a maintenance release, the following features were fixed and/or added:
-
The contents of RTL Operators is no longer elaborated by default.
-
Speed-up reading Verilog files with a huge number of defparams.
-
Extend the GUI API and add Gui:GetCustomWidgetPath to get the widget path to a custom widget.
-
Add missing header file "include/zdb/zprimitive.h".
-
Add missing object to the zdb link library.
-
Enhance the database API command oid print.
-
Enhance the Command Kit API function get_top_design to support multiple design tops.
-
Speed-up the guess inst array post-process operator.
-
Keep objects selected while using the history buttons in the Schem and Cone window.
RTLvision PRO 6.6.2
This is a maintenance release, the following features were fixed and/or added:
-
Fix changing the name of the logfile in the Preferences dialog.
-
Fix the create hierarchy feature of the Verilog netlist reader.
-
Enhance the guess bus option and support escaped Verilog identifier.
-
Liberty files are added to the spos database.
-
The Liberty parser adds the attributes LIBERTY_FILE and LIBERTY_LINE to cell, port and portBus objects.
-
The Verilog RTL parser does a more relaxed language checking for Verilog files with undefined macros.
-
The Verilog RTL parser no longer ignores the entire module it there is a statement with a syntax error.
-
Fix Source view navigation towards the end of the file.
RTLvision PRO 6.6.1
This is a maintenance release, the following features were fixed and/or added:
-
Add the netlist option "Remove Buffer" to the Open dialog.
-
Add the netlist option "Reduce Chain of Inverter" to the Open dialog.
-
Add the new netlist related command line option -removeBuffer to remove all buffer instances.
-
Add the new netlist related command line option -reduceInvChain to reduce a chain of inverter instances.
-
Add the option to zoom to the selected object(s) in the Schem and Cone window.
-
Add the option to propagate a port attribute to the pin.
RTLvision PRO 6.6.0
This is a major release, the following features were fixed and/or added:
-
The Signal Mode is now a global RTLvision PRO setting accessible from the Toolbar.
-
Add the option to control the elaboration of operators.
In addition, the following features were fixed and/or added:
-
In the Preferences dialog trace through cells can be entered using a glob style pattern.
RTLvision PRO 6.5.5
This is a maintenance release, the following features were fixed and/or added:
-
Enhance processing of filesets.
-
Add command line option '-F' to read filesets containing relative file names.
-
User definable file extensions for verilog dialects via new command line options +verilog1995ext+, +verilog2001ext+, and +systemverilogext+.
-
Fix default VHDL library path if the installation directory contains a blank.
-
Avoid error displaying decimal numbers > 64 bit in the Wave window.
-
Enhance processing of command line options.
RTLvision PRO 6.5.4
This is a maintenance release, the following features were fixed and/or added:
-
Fix error message opening an invalid binfile.
RTLvision PRO 6.5.3
RTLvision PRO 6.5.2
This is a maintenance release, the following features were fixed and/or added:
-
Extend the Color tab of the Preferences dialog and add the option to configure all colors used in the Source window.
-
Fix select symbols for custom symbol shapes.
-
Fix the display of constant values in inline expanded modules.
-
Display short file names in the Source window.
RTLvision PRO 6.5.1
This is a maintenance release, the following features were fixed and/or added:
-
The VCD reader treats a syntax error in the header section as a warning.
-
Add support to match flat VCD names to a hierarchical design.
-
Add the appropriate file extension for a schematic saved as an image file.
-
Colors are preserved saving a schematic in SVG format.
-
Add the option to select the orientation of the created image to the Save Schematic as Image dialog.
RTLvision PRO 6.5.0
This is a major release, the following features were fixed and/or added:
-
Add support to expand the contents of modules inline in the current module.
-
Extend the GUI API and add Gui:ConeCustomMoreAction to register a custom procedure for the more command (double click) in the Cone window.
-
The displayed time range in the Wave window can be set using the "From" and "To" fields.
In addition, the following features were fixed and/or added:
-
Optimize the wiring in the Cone window after folding a hierarchical region.
-
Display range information at bus objects.
-
Add a x-scrollbar to the list of displayed signal names in the Wave window.
-
Fix version check.
RTLvision PRO 6.4.5
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 6.4.4
This is a maintenance release, the following features were fixed and/or added:
-
The Liberty reader ignores internal pins.
-
Enhance and update documentation.
-
Add command line option "-defParam" to overwrite VHDL generics.
RTLvision PRO 6.4.3
This is a maintenance release, the following features were fixed and/or added:
-
Optimize generation of the Source window’s hierarchical context.
-
Fix links to documentation files and links within the documentation.
-
Enhance the GUI API command Gui:DoubleClick and add support to remove the custom binding.
-
Extend the GUI API and add Gui:ConeCustomFoldAction to register a custom procedure for the fold button at hierarchical instances in the Cone window.
-
Extend the GUI API and add Gui:ConeCustomUnfoldAction to register a custom procedure for the unfold button at hierarchical instances in the Cone window.
RTLvision PRO 6.4.2
This is a maintenance release, the following features were fixed and/or added:
-
Disable "Beautify" entry in Popup menu if all sub-items are disabled.
-
"Global" bookmarks in the Source view window.
-
Fix increasing memory usage in the Source view window.
-
Fix the Export Netlist option to create a corresponding symbol library.
-
Fix Save Schematic as Image in PNG format.
RTLvision PRO 6.4.1
This is a maintenance release, the following features were fixed and/or added:
-
Fix issue displaying source preview in tooltips.
RTLvision PRO 6.4.0
This is a major release, the following features were fixed and/or added:
-
Add support for reading Verilog AMS files.
-
Enhance navigation in the Source view window: introduce an "Action Bar" for object specific navigation.
-
Add the option to select the font for the Source view window.
-
Display source preview in tooltips.
-
Add hierarchical context to the Source view window.
-
Text section in the Source view window is now bind to shift + left mouse button.
-
New database API command $db get_driver to get the driver of a net or signal.
-
New flat view API command flat foreach flagged to loop over all objects with the given flag name set.
-
New flat view API command flat foreach attr to loop over all objects with the given attribute name set.
-
Extend the GUI API and add Gui:ShowCustomWidget to toggle the visibility of a created custom widget without destroying it.
-
A new Visualizer can only be created as a toplevel window. A second inline Visualizer can be toggled from the Window menu or toolbar.
-
Extend the GUI API and add Gui:ShowSecondVisualizer to toggle the visibility of the second Visualizer.
-
Extend the GUI API and add Gui:GetSecondVisualizer to get the widget path to the second Visualizer.
-
Remove the S/C tab from the Visualizer.
-
The Drag & Drop mouse button can be configured from right to left.
-
Add the command line option "-sym2zdb" to preload cells from a given Symbol library.
-
Drop support for the Solaris x86_64 platform.
In addition, the following features were fixed and/or added:
-
Fix data for Source window of RTL files without a newline character in the last line.
-
Add the command line option -version to RTLvision PRO.
-
The behavior and options of the GUI API function Gui:InsertCustomWidget has changed.
-
The Verilog netlist parser no longer creates wrong connectivity for escaped net names with bit subscript characters matching an existing bus member.
-
The Verilog netlist parser no longer accepts reserved keywords as an instance name.
-
Add horizontal scrolling to the Infobox.
-
A double-click on a module in the Tree window also shows the module in the Source window.
-
A double-click on a module in the Tree window no longer activates the Schem tab.
-
A Goto operation may change the context in the Schem window.
-
A binlib file created with the sym2zdb tool no longer requires a license feature.
-
Fix searching across files in the Source window.
-
Optimize mouse wheel scrolling and panning in the Source view window.
-
Fix "text search across files" in the Source view window.
-
Enhance performance of "text search" in the Source view window.
-
Fix displaying TAB characters in the Source view window.
-
Fix using the "Native Browser" for viewing the documentation on Linux.
RTLvision PRO 6.3.4
This is a maintenance release, the following features were fixed and/or added:
-
Draw ports and portBuses with an unknown direction in grey color.
-
Improve direction of ports created by the option to recreate hierarchy.
-
Change the default behavior of the "zdb open" API command to open the specified binfile in readonly mode. To open a binfile writable the option -writable can be used.
-
Starting the GUI with the command line option -help no longer require a license.
-
Extend the GUI API and add Gui:WaveSetTimeRange to set the visible time range of the Wave window.
-
All Wave window related GUI API commands accept a human readable time string.
-
Wave window enhancements.
RTLvision PRO 6.3.3
This is a maintenance release, the following features were fixed and/or added:
-
Fix reading multiple DEF files.
-
Attribute values storing Y positions coming from a LEF or DEF file are no longer flipped.
-
Add support for connecting special nets using pattern match to the DEF parser.
-
Add support for fullscreen mode (new command line option "-fullscreen", new menu entry "Full Screen" in Window menu, new key binding "F11").
-
Extend the GUI API and add Gui:FullScreen to toggle fullscreen mode.
RTLvision PRO 6.3.2
This is a maintenance release, the following features were fixed and/or added:
-
Wave window enhancements.
-
Add the command line option -createHier to the DEF parser to control hierarchy creation.
-
Bind the escape key to cancel mouse stroke events in schematic windows.
RTLvision PRO 6.3.1
This is a maintenance release, the following features were fixed and/or added:
-
Enhance icon and application name displayed by the window manager.
-
Enhance the "operator" API and add "$db oper collectSignalData" to move data from all nets of a signal to the signal.
-
No longer clear the Cone window if only display properties have been changed in the Preferences dialog.
RTLvision PRO 6.3.0
This is a major release, the following features were fixed and/or added:
-
Add new Verilog command line option -globalInclude to define a global Verilog include file.
-
Extend the GUI API and add Gui:WaveSetLabel to set a text label at the given oid and time in the Wave window.
-
Enhance the "$db cone" API and add the option -shortestPath to quickly return the shortest path from a given start object to one of the specified targets.
In addition, the following features were fixed and/or added:
-
Fix startup script to support quoted command line options.
-
Avoid error while scrolling a zipped RTL file in the Source window.
-
Fix reading escaped identifiers in a VCD file.
-
Fix the EDIF parser to treat empty cells as primitives.
-
Fix the EDIF parser to respect the "-spos off" command line option.
-
Remove hard coded limitation while loading the driver of a net in the Cone window. This limit is now derived from the Big Module Limit.
RTLvision PRO 6.2.5
This is a maintenance release, the following features were fixed and/or added:
-
Extend the GUI API and add Gui:GetCurrentWindow to get the name of the window with the input focus.
-
Enhance the flat foreach pin command and add support for global nets.
-
The API command isPgNet now returns a Boolean value.
RTLvision PRO 6.2.4
This is a maintenance release, the following features were fixed and/or added:
-
Avoid error if Drag & Drop is started with a keyboard modifier.
-
Speed-up "$db write spice" for large databases.
-
Graphical marks are no longer displayed at the wire if Net Attribute at Wire" is disabled.
-
User defined Graphical marks no longer interfere with internally used marks.
-
Enhance the Graphical marks API and add support to set an individual background color and ratio for each mark.
-
Enhance the Graphical marks API and add support to combine two (or more) marks into more complex shapes.
-
Avoid error while starting the zdbsh binary.
-
Fix selection of objects in the Tree window.
-
Fix display of bus member signals in the Wave window.
-
Fix selection of objects in the signal selection of the Wave window.
-
Keep line marks in the Source window visible until the next mouse click.
-
Fix highlighting of a bus with enabled "Signal Mode".
RTLvision PRO 6.2.3
This is a maintenance release, the following features were fixed and/or added:
-
Avoid error using keyboard shortcuts for Popup menu commands.
-
Enhance the cadence2symlib.il script to create filled paths to support symbol background colors.
RTLvision PRO 6.2.2
This is a maintenance release, the following features were fixed and/or added:
-
Fix "flat signalOf" and "flat foreach" at complex connections.
-
Fix segmentation fault in the RTL parser for "power" operators.
RTLvision PRO 6.2.1
This is a maintenance release, the following features were fixed and/or added:
-
Parser options enabled on the command line were not visible in the corresponding parser dialog.
-
Fix turning off Source file references for the RTL Parser.
-
Update the Schem and Cone window if the corresponding option have been changed in the Preferences dialog.
RTLvision PRO 6.2.0
This is a major release, the following features were fixed and/or added:
In addition, the following features were fixed and/or added:
-
A binfile saved from the GUI now contains the context of the Source window.
-
A binfile saved from the GUI now contains the context of the Wave window.
-
Fix displaying logic values annotated from the Wave window in the Source window.
-
Try to match OIDs in the Wave window also case insensitive.
-
Do not show the logic value if a transition icon is annotated from the Wave window.
-
Fix displaying netBus objects with null members in the Wave window.
-
Fix missing top scope in the tree of the Wave window.
-
Fix invalid database caused by the Verilog netlist parser option -createHier.
-
Add support for bus indices greater 32767 to the Verilog netlist parser.
-
Fix segmentation fault in the Liberty parser for strings greater than 1500 characters.
-
Fix back annotation of SDF values.
-
Avoid error while navigating through multiple schematic pages using the off-page connector.
-
Add the option -populate to the "zdb open" command to load a binfile into physical memory.
-
Fix missing support for the command line option -geometry.
-
Extend the GUI API and add Gui:AddBookmark to add a bookmark in the Wave, Schem, Cone or Source window.
-
Extend the GUI API and add Gui:SelectBookmark to select a bookmark in the Wave, Schem, Cone or Source window.
-
Extend the GUI API and add Gui:RenameBookmark to rename a bookmark in the Wave, Schem, Cone or Source window.
-
Extend the GUI API and add Gui:DeleteBookmark to delete a bookmark in the Wave, Schem, Cone or Source window.
-
Remove the deprecated API commands "$db cdc calcFFload", "$db cdc getFFpinList" and "$db cdc treeCalc".
RTLvision PRO 6.1.0
This is a major release, the following features were fixed and/or added:
-
Add support saving the schematic as a PNG or SVG image.
-
New licensing model:
-
The checked out master feature enables the use of all parser through Tcl API commands.
-
The option "-wait_for_license" is no longer needed for opening a binfile using the "zdb open" command.
-
The option "-wait_for_license" is no longer needed for starting a parser using a Tcl command.
-
-
Rename the license API command "zdb license" to "zlicense".
-
Rename the system call API command "zdb os" to "zos".
-
Rename the message API command "zdb message" to "zmsg".
-
Rename the progress API command "zdb progress" to "zprogress".
-
Remove the "zfork" API command.
-
Increase the number of possible highlight colors.
In addition, the following features were fixed and/or added:
-
Enhance the Wave window and add the option to create vectors for variables with the same basename and a consecutive bit subscript.
-
Logic values annotated from the Wave window no longer interfere with custom attributes.
-
Fix displaying transitions annotated from the Wave window.
-
Add new command line option -semanticCheck to the Liberty parser to perform additional semantic checks reported as warnings. The option -pedantic change the severity of the semantic check messages into error.
-
Enhance the "Save Schematic as Image" dialog.
-
Add the option to use the goto color for additional selection feedback.
-
Enhance displaying net attributes at the wire.
-
Fix saving the "Instance Count" report to a text file if the displayed number of objects contains a decimal mark.
-
Enhance the "$db coneToPG" API command and add the options "-only", "-excludeFlaggedCell" and "-excludeFlaggedInst".
-
Enhance beautifying the schematic and add support to select a built-in symbol shape also for cells with bus ports.
-
Enhance beautifying the schematic and add support to select a built-in MUX symbol.
-
The pin list of the Navigate Net/Signal dialog is sorted.
RTLvision PRO 6.0.13
This is a maintenance release, the following features were fixed and/or added:
-
Fix missing progress bar for the RTLvision PRO option -wait_for_license.
-
Improve visual feedback while moving instances.
-
Always hide all unconnected pins at module instances in the Cone window.
-
Enhance the "$db write spice" API command and add the option "-noEnd" to not add an ".END" statement at the end of the created Spice file.
-
New API command "$db count" to count objects.
-
Enhance the "operator" API and add "$db oper deletePort" to remove ports or portBuses from a cell.
RTLvision PRO 6.0.12
This is a maintenance release, the following features were fixed and/or added:
-
Fix reading a fileset as a Verilog netlist.
-
Fix displaying netBus values from the Wave at the connected pinBus.
RTLvision PRO 6.0.11
This is a maintenance release, the following features were fixed and/or added:
-
Allow multiple name/value pairs in the +define+ command line options.
-
The RTL parser displays a Verilog port expression as the port name.
-
Fix the calculation of crossing between selected clock domains only.
-
Propagate values annotated from the Wave window through the hierarchy.
-
Use graphical marks for transitions annotated from the Wave window.
-
Add the option to configure the attribute color for values annotated from the Wave window.
-
Fix display of port and net attributes in the Source window.
-
Fix mapping of permanent highlight colors in the Schem and Cone window.
-
Fix the database API command "flag -db" for netBus and module objects.
RTLvision PRO 6.0.10
This is a maintenance release, the following features were fixed and/or added:
-
Fix missing error message if a license checkout failed.
-
Enhance the highlight and flat highlight API to support the option "-both" for getting either the normal or permanent highlight color value.
-
The Source, Tree, Mem and Search window now also show permanent highlight colors.
-
Avoid wrong VCD parser syntax error.
RTLvision PRO 6.0.9
This is a maintenance release, the following features were fixed and/or added:
-
Load Userware code before opening a binfile.
-
Fix crash in create hierarchy with an empty string as the hierarchy separator character.
-
Fix adding values to the Verilog Options tab of the Open Files dialog.
RTLvision PRO 6.0.8
This is a maintenance release, the following features were fixed and/or added:
-
Fix wrong syntax error for the "criticality" keyword reported by the EDIF parser.
-
Fix EDIF parser crash.
-
Fix adding symbol libraries in the Open file dialog.
-
Fix moving the viewport in the Minimap window.
-
Extend the GUI API command Gui:SaveConeAs and add the option to save the contents a ZDB binfile.
-
Extend the GUI API and add Gui:ZoomTo to zoom the Schem or Cone window to the given OID list.
-
Extend the "$db report" API and add the command portCount to get the number of ports.
RTLvision PRO 6.0.7
This is a maintenance release, the following features were fixed and/or added:
-
Fix the "vhdl2zdb" binary and "zvdb" Tcl command for compiling multiple units into one library.
-
Extend the GUI API and add Gui:RegisterClearCone to register a callback procedure that is called before the Cone window will be cleared.
-
Extend the GUI API and add Gui:RemoveRegisteredClearCone to remove a previously register clear Cone callback procedure.
-
The GUI API command Gui:ClearCone now accepts "all" to clear the Cone window of all existing Visualizers.
-
Extent the Flat View API and add the helper functions "$db flat count …" to get the number of loops for the "$db flat foreach …" commands.
-
The "Big Module Limit" can be set to -1 to disable the generation of any new schematic.
-
Fix the missing result of the API command "$db get_ports".
RTLvision PRO 6.0.6
This is a maintenance release, the following features were fixed and/or added:
-
Add new "$db oper setDirection" command to set the direction of a port.
-
Extend the GUI API and add Gui:ShowClockTreeAnalyzer to toggle the visibility of the Clock Domain Analyzer dialog window.
-
Extend the GUI API and add Gui:HighlightClockDomain to highlight a clock domain.
-
Extend the GUI API and add Gui:UnhighlightClockDomain to unhighlight a clock domain.
-
Fix the Cone Extraction API option "-ignoreDir" for target pins.
-
Enhance the operator command $db oper rename and add the option "-checkName" to check if the rename would create a name clash.
-
Fix support for the FlexNet TIMEOUT option to automatically return an inactive license feature.
-
Fix crash in "$db oid print" if the result is an empty string.
RTLvision PRO 6.0.5
This is a maintenance release, the following features were fixed and/or added:
-
The environment variable CE_TCL_INIT_SCRIPT can point to a Tcl script that is sourced at startup.
-
The symbol utilities package 'Symutils' is now part of the RTLvision PRO release.
-
The ZDB API function "$db parentModule" now throws an error if called with a primitive port.
-
Fix the ZDB API function "$db oid convertTo" for converting a module or primitive OID into a portBus OID.
RTLvision PRO 6.0.4
This is a maintenance release, the following features were fixed and/or added:
-
Speed-up subsequent calls to "$db load module".
RTLvision PRO 6.0.3
This is a maintenance release, the following features were fixed and/or added:
-
Extend the GUI API and add Gui:RegisterSelectionCallback to register a custom selection callback.
-
Extend the GUI API and add Gui:RemoveRegisteredSelectionCallback to remove a registered selection callback.
-
Also implicit license checkouts (e.g. like zdb open) will respect the value of the environment variable +
CONCEPT_WAIT_FOR_LICENSE
. -
Fix missing error message if a binfile specified on the command line of RTLvision PRO could not be opened.
-
The zdb info $binfile command shows information about the parsers used to create the given binfile.
-
Add new zdb parserbits $binfile command to get a list of all parsers used to create the given binfile.
RTLvision PRO 6.0.2
This is a maintenance release, the following features were fixed and/or added:
-
The "Save Settings" and "Restore Settings" buttons of the Open dialog were mixed up.
-
Fix consecutive license checkouts from the API after a failed checkout.
-
Fix the RTLvision PRO option -wait_for_license if specified with a value of 0.
-
If the environment variable CONCEPT_WAIT_FOR_LICENSE is set then all license checkouts will respect the value of this variable.
-
Enable the option -wait_for_license for the zdb open API command.
-
The FlexNet licensing software is part of the RTLvision PRO download package.
RTLvision PRO 6.0.1
This is a maintenance release, the following features were fixed and/or added:
-
Fix crash in Liberty parser with bus_naming_style.
-
The Search window uses a determinate progress bar.
-
Fix $db flag $oid clear called without a flag name to clear all flags at the given object.
-
Minor GUI API change: the Gui:Goto command no longer accepts the value 'all' for the option window name. Omitting the window option will affect all Visualizer components.
RTLvision PRO 6.0.0
This is a major release, the following features were fixed and/or added:
-
New unified file Open dialog to read in files of any type.
-
Dynamically elide long object names in the Schem and Cone window.
-
Add history buttons to the Schem window.
-
New keyboard shortcuts Control-PgUp and Control-PgDn to switch between the Schem, Cone,S/C and Source tab of a Visualizer.
-
Hierarchical instances in the Cone window with contents now display a fold/unfold button in the top left corner.
In addition, the following features were fixed and/or added:
-
The Connectivity Lens now displays all connections independent from the pin direction.
-
Add support for scrolling with the middle mouse button in the Wave window.
-
Enhance support for bus members in the Wave window.
-
Add support to drop module based OIDs (e.g. from the Source window) to the Wave window.
-
Modules created by the "Add Hierarchy for Processes" (-procHier) option compiled into a library not named "work" are no longer flagged as library cells.
-
Add new command line option -createUniqConsts to the Verilog parser to create unique nets for each constant pin connection.
-
Add new command line option -createUniqConsts to the RTL parser to create unique nets for each constant pin connection.
-
Fix reading Edif or RTL files if the environment variable FLEXLM_DIAGNOSTICS is set.
-
Enhance the database API and add "$db reloadParasitic" to reload a parasitic module.
-
Enhance the database API and add "$db foreach couplingPort" to loop over all coupling connections and "$db foreach couplingInst" to loop over all coupling instances of a parasitic module.
-
Fix the database API command "flag -db" for pins.
-
Add support for sourcing gzipped Tcl files using the "zdb source" command.
-
Add the option to the Preferences dialog to toggle the drop shadow effect on instances in the Schem and Cone window.
-
Selected instance and port objects in the Cone window now display a toolbar to access the delete function.
-
Extend the GUI API and add Gui:AddConeBookmark to add a bookmark in the Cone window.
-
Extend the GUI API and add Gui:ShowConeBookmark to show a previously added bookmark in the Cone window.
-
Extend the GUI API and add Gui:DeleteConeBookmark to delete a bookmark in the Cone window.
-
Extend the GUI API and add Gui:SaveConeBookmark to save a bookmark file in the Cone window.
-
Extend the GUI API and add Gui:OpenConeBookmark to restore a bookmark file in the Cone window.
-
Extend the GUI API and add Gui:ShowConsole to toggle the visibility of the Console window.
-
The number of items below a design info node in the Tree window can be configured.
-
Enhance the $db clone API function and add support to skip flagged instances in the module to be cloned.
-
Fix "too many colors" error while saving a Save Schematic as Image on Windows.
-
Fix displaying net and netBus attributes at the wire.
-
The ndl2zdb executable is no longer part of the package. Now the source code to compile ndl2zdb is shipped in the demo/api/cust23 directory.
-
Fix the rename operator for parasitic modules.
-
Rename the Cone Extraction API option "-diveEmpty" to "-emptyModAsPrim".
-
Show direction for pins in the Infobox.
-
New cloneDB command to clone a database.
-
The cloneDB command also supports cloning into an existing database.
-
All *2zdb batch parser now support the command line option -binlib multiple times.
-
Long lines are wrapped in the Console window.
-
Avoid error while typing in the Console window.
-
Add errorInfo to error messages displayed in the Console window.
-
Add support for Flexid-9 (Dongle) license server hostids.
-
Drop support for RHEL 4 and older.
-
Upgrade FlexNet license mechanism to flexnet-11.12.
-
Changed cadence2symlib.il to support portBus and add inline description.
-
Add new symlib keyword "symmap" to support better module symbol mapping.
-
Add support for net targets to the -reachable option of the cone extraction API.
-
Added -delzombies the operator $db oper rmhier.
-
Extend the database command $db write verilog and add the option "-ignoreautogen" to skip all auto generated cells.
-
Changed the options of the "$db write" command for "tcl", "spice", "verilog", "dspf" and "spef".
-
Add option "-into" to tcl parser commands.
RTLvision PRO 5.10.18
This is a maintenance release, the following features were fixed and/or added:
-
The port names displayed in the Schem and Cone window can be overwritten using the "@name" attribute.
-
Fix Load Module to Cone for top modules with "do not display hierarchy boxes" enabled.
-
Speed-up the "Find in File" function of the Source window.
-
Enhance showing the result of the "Find in File" function of the Source window and highlight the matched text.
-
Changed cone search to follow user defined arcs independent from pin direction.
RTLvision PRO 5.10.17
This is a maintenance release, the following features were fixed and/or added:
-
The "ESC" key can be used to close the Connectivity Lens in the Schem and Cone window.
-
Fix redraw issue after scrolling in the Schem and Cone window with an open Connectivity Lens.
-
Enhance the GUI API command Gui:NewVisualizer and add the options -width and -height to specify the width and height of the new Visualizer.
-
Avoid error while displaying the tooltip for a module based pinBus object.
-
Do not show the bus width twice at the textual representation of a bus object.
RTLvision PRO 5.10.16
This is a maintenance release, the following features were fixed and/or added:
-
Avoid syntax error reported by the Verilog netlist parser (verilog2zdb) while reading Verilog 2001 attributes.
RTLvision PRO 5.10.15
This is a maintenance release, the following features were fixed and/or added:
-
The GUI API procedure Gui:DataBaseModified keep objects selected in the Schem and Cone window.
-
Extend the GUI API and add Gui:RegisterDesignReady to register callbacks to be executed after all design files have been read.
-
Extend the GUI API and add Gui:GetAllVisualizers to get a list of all active Visualizers.
-
Extend the GUI API and add Gui:RemoveRegisteredDesignReady to remove a previously registered callback.
RTLvision PRO 5.10.14
RTLvision PRO 5.10.13
This is a maintenance release, the following features were fixed and/or added:
-
Fix foreach loop over all opened databases to allow closing the current database.
-
Add new command line option -compileMode to the rtl2zdb parser to force compilation to either single file unit or multi file unit mode.
RTLvision PRO 5.10.12
This is a maintenance release, the following features were fixed and/or added:
-
Fix the OID API command "$db oid convertTo pinBus".
-
Enhance the database API command "flag -db set" to set a named flag at all database objects.
-
Enhance the database API setPrimitive to set modules flagged with the new leafcell flag as primitives.
-
Enhance the database API and add the command info binfile to get the name of the binfile associated with the database.
-
Fix the error handling for the "flatflag $oid is" API command.
-
Set the value of tcl_interactive to true for Userware scripts sourced from the Console window.
-
Do not overwrite the errorInfo value in the Console window.
RTLvision PRO 5.10.11
This is a maintenance release, the following features were fixed and/or added:
-
Do not flag Verilog transfer gate primitives as transistor devices.
RTLvision PRO 5.10.10
This is a maintenance release, the following features were fixed and/or added:
-
Enhance the "$db write -verilog" API command to change priority for needed name changes.
-
Count hidden NC pins in an extra list in Cone Export dialog.
-
Enhance the Cone Extraction API and add the option "-ignoreDir" to ignore the module port direction while searching the cone result.
-
Enhance the database API to examine the connectivity and add the "foreach pinCon" and "foreach portCon" to get only pins or ports connected to the given net object.
-
Display the direction of pin and port objects in the tooltip label.
-
Enhance constant value support at signals. Now the signal defines a value if one interconnected net has a constant value.
-
Enhance the database API command flag to clear a named flag at all database objects.
-
Extend the database API and add the command oid concat to convert a hierarchical instance OID to a tree base pin oid by appending a module based pin OID or a relative path to a pin.
-
Extend the database API and add the command oid exists to check if an OID exists in the database.
-
Extend the Operator API and add the command oper connect to connect a pin or port to a net.
-
The default instance name displayed in the Schem and Cone window can be overwritten using the "@name" attribute.
-
Fix reading files from a fileset as SystemVerilog.
RTLvision PRO 5.10.9
RTLvision PRO 5.10.8
This is a maintenance release, the following features were fixed and/or added:
-
Add new Connectivity Lens to the Schem and Cone window to show detailed connectivity information for pinBus and portBus objects.
-
Fix error handling in evaluated Userware scripts.
-
Fix page splitting in the Cone window.
-
Fix displaying net and netBus attributes at the wire.
-
Fix toggling the display of port and portBus names.
RTLvision PRO 5.10.7
This is a maintenance release, the following features were fixed and/or added:
-
New Tcl API service function "zdb formatvalue" to format values from or to Spice notation, for Verilog notation or to convert from binary notation into decimal, octal or hexadecimal.
-
New Tcl spos API function to check if a file exists in the spos database.
-
New database API service function getFuncPort to get a port by a given function.
-
Add support for constant values at signals.
-
Enhance the $db clone API function and add support to skip flagged portBuses.
-
The $db clone API function now clones all portBus range information.
-
Avoid error on Windows while merge new files with the currently loaded database.
-
Enhance "Save Cone as Verilog" and add the option to create unconnected module ports.
-
Enhance "Save Cone as Verilog" and add the option to create ports for all not connected pins.
-
Enhance "Save Cone as Verilog" and add the option to create all top level I/O ports.
-
Do not create empty subckts for devices in the output created by the "$db write -spice" command.
RTLvision PRO 5.10.6
This is a maintenance release, the following features were fixed and/or added:
-
New database API service function isPgNet to test if a net or signal is flagged as power or ground.
RTLvision PRO 5.10.5
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 5.10.4
This is a maintenance release, the following features were fixed and/or added:
-
Extend the GUI API and add Gui:GetDesignTitle to get the design title displayed in the title bar.
RTLvision PRO 5.10.3
This is a maintenance release, the following features were fixed and/or added:
-
The command line option -netlistPattern can be specified multiple times.
-
Enhance the GUI API command Gui:NewVisualizer and add the option -topmodule to specify the name of the visible top module.
-
Extend the GUI API and add Gui:SetTopModule to set the visible top module.
-
Enhance the "$db write -verilog" API command and add the option to write object comments.
-
Avoid an error while opening a binfile containing a source file that no longer exists.
-
Fix the option to turn off the visibility of hierarchy boxes in the Cone window.
RTLvision PRO 5.10.2
This is a maintenance release, the following features were fixed and/or added:
-
The command line option -f can be specified multiple times to the rtl2zdb and verilog2zdb parser.
RTLvision PRO 5.10.1
This is a maintenance release, the following features were fixed and/or added:
-
Speed-up schematic generation for complex circuits.
-
Fix the Popup menu action "Load/Append Cone" invoked from the Source window on module or primitive objects.
-
Fix Segfault in RTL parser "rtl2zdb" option -compact, if there are mux chains with only partial connected bus pins.
-
Fix reading EDIF files given on the command line.
RTLvision PRO 5.10.0
This is a major release, the following features were fixed and/or added:
RTLvision PRO 5.9.9
This is a maintenance release, the following features were fixed and/or added:
-
Extend the GUI API and add Gui:ExportPhoto to save an image of the schematic.
-
Extend the GUI API and add Gui:ExportPdf to save a PDF file of the schematic.
-
Enhance the GUI API command Gui:GetDataBase and change the visualizer argument to be optional.
-
Fix support for TCLLIBPATH environment variable in zdbsh.
-
Add parasitic specific Userware examples.
RTLvision PRO 5.9.8
This is a maintenance release, the following features were fixed and/or added:
-
New database API function valid to check for valid database command.
-
New database API function foreach to loop over all opened databases.
-
New options in API command $db oid print to get better control over the returned string.
-
Extend the database API command flag by a toggle variant.
-
Fixed -incdir and -define options if used multiple times.
-
Fix reading EDIF files through the "Read EDIF" dialog.
RTLvision PRO 5.9.7
This is a maintenance release, the following features were fixed and/or added:
-
zdbsh now supports TCLLIBPATH environment variable to search tcl packages.
-
Fix verilog2zdb to support bigger constants.
RTLvision PRO 5.9.6
This is a maintenance release, the following features were fixed and/or added:
-
Do not flag cells restored from a VDB (VHDL file compiled with vhdl2vdb) as a library cell if source code reference generation was enabled.
-
Change non-fatal SDF parser error into a warning.
-
Fix startup of the zdbsh.exe (part of the ZDB API package) on Windows.
-
Add the "tcltest" package to the GUI and zdbsh.
-
The zdbsh binary reads a file name .zdbshrc on Unix and zdbshrc.tcl on Windows located in the home directory at startup if the shell is started in interactive mode.
-
New Tcl API command "zutil signal" to install a custom signal handler.
RTLvision PRO 5.9.5
This is a maintenance release, the following features were fixed and/or added:
-
Add the new command line option -maxErrCnt to the RTL parser to control the number of errors before the parser stops with "too many errors".
-
The VHDL RTL parser now does a more relaxed language checking and report a warning for the non fatal error "… is not declared". This can be disabled by the command line option -pedantic set to on.
-
Enable the command line option -spos for the vhdl2vdb binary.
-
Fix the "Export Verilog Netlist" feature and therewith the "$db write -verilog" API command: no longer create wrong connectivity for netBus members connected to a scalar port with bus syntax.
-
Issue a warning for command line options that are allowed only once.
-
Fix schematic compaction for guessed instance arrays with serial interconnection.
RTLvision PRO 5.9.4
This is a maintenance release, the following features were fixed and/or added:
-
Enhance the Infobox and show all pins and connected nets of a selected instance.
-
Fix "full mode" of the schematic compaction for instances with either unconnected pins or pins connected to a constant value.
RTLvision PRO 5.9.3
This is a maintenance release, the following features were fixed and/or added:
-
The "$db write -verilog" API command no longer supports writing only flagged instances and cells. Instead ports, instances and cells can be flagged to be ignored.
-
Enhance the command $db clone and add the option "-skipflaggedport flag" to not clone ports flagged with the given flag.
-
Fix wrong source code references for RTL Verilog files specified with the -v option or found in a directory specified with the -y option.
-
Add -skipLeadX option to vcdcompile, to ignore vcd X-values at the beginning.
RTLvision PRO 5.9.2
This is a maintenance release, the following features were fixed and/or added:
-
Fix recognition of arrayed instance names in schematic compaction for instances generated by the RTL parser.
-
Add support for "bus" type to the Liberty parser liberty2zdb.
-
Fix support for "bundle" type in the Liberty parser liberty2zdb.
-
Add the new command line option -createBus to create Verilog conform buses from single bit ports with consecutive numbering.
-
Fix the "Export Verilog Netlist" feature and therewith the "$db write -verilog" API command: no longer create named connectivity in module interfaces.
RTLvision PRO 5.9.1
This is a maintenance release, the following features were fixed and/or added:
-
New database API function isEmpty to check if a module is empty.
-
Extend the GUI API and add Gui:FileDialog to show an "Open File", "Save File" or "Choose Directory" dialog.
-
Use bus syntax for generated port names in schematic compaction.
-
Unneeded bitsubscripts removed from generated port names in schematic compaction.
-
Added recognition of arrayed instance names in schematic compaction.
-
Changed port sort sequence in -guessBus option.
-
Fix the "Trace back to X" feature of the Wave window.
-
Add the option to overwrite Verilog parameters using the "-define" option to the Verilog RTL parser.
RTLvision PRO 5.9.0
This is a major release, the following features were fixed and/or added:
-
Revise the Cone Extract dialog.
-
Enhance the Clock Domain Analyzer. Calculate all clock domains before showing the dialog window.
-
Simplify the Clock Domain Analyzer dialog.
-
Add new keyboard shortcuts to the Wave window to jump to the previous ("p") or next ("n") value change as well as for zoom fit ("f"), zoom in ("i") and zoom out ("o").
-
The Verilog RTL parser now does a more relaxed language checking and report warnings for many non fatal errors. This can be disabled by the new command line option -pedantic set to on.
-
Support gzipped VCD files.
-
Rename the color schemes to "Light", "Dark" and "Custom". The default color scheme is now "Light".
In addition, the following features were fixed and/or added:
-
Remove the option to "Fold Library Cells" from the Clock Domain Analyzer window and Cone Extract dialog. This feature can be controlled using the Primitive Level settings in the Preferences dialog.
-
Remove the option to "Don’t dive Known Function" from the Cone Extract dialog. This feature already was always on to speed-up the cone extraction.
-
Fix the Cone Extraction algorithm for constant value targets.
-
Add new Clock Domain Analyzer API.
-
Fix setting the time marker in the Wave window.
-
The "create compact RTL schematic" option no longer groups clocked elements connected to different clock nets.
-
The VCD reader now supports negative indices and escaped Verilog names.
-
Add the option to configure Clocked Cells to the Tools menu.
-
The RTL parser "rtl2zdb" reads unknown files as Verilog-2001.
-
Enhance the Liberty reader "liberty2zdb" and add the option -skipSupplyPorts to not create supply ports (pg_pin group).
-
Extend the Popup menu of the Wave window. Add options to jump to the previous or next value change.
-
Fix the cone extraction algorithm tracing through modules with input ports directly connected to output ports.
-
Fix crash in Schem and Cone window for cases with more than 1000 parallel capacitors.
-
Avoid error in Cone window if the option to not show hierarchy boxes is on and a loaded net has more than one representative.
-
Enable the option -wait_for_license to wait the given amount of seconds for the next free license for all batch tools.
-
The time to wait for a license can be defined using the environment variable CONCEPT_WAIT_FOR_LICENSE.
-
New API function isOperator to check if an instance refers to an operator.
-
Speedup the Source window if the option "Show Attribute" is disabled.
-
Automatically disable the option "Show Attribute" for large netlist files.
-
Avoid color flickering in the Source window: no longer change the background color while the mouse moves over a known object. Only change the background color if the object is selected by a mouse click.
-
Extend the GUI API and add Gui:NewParasiticWindow and Gui:HideParasiticWindow to show/hide a new toplevel Parasitic window.
-
Add an optional argument to all Parasitic window related GUI API procedures to work on one of the created toplevel windows.
-
Change the goto color of the "Dark" color scheme to a different color than the first highlight color.
-
Add support for signal OIDs to the flathilight API.
-
Show signal highlights in the Memory window.
-
Avoid error in the Cone window if double-clicked on an ambiguous connected portBus.
-
Changed API for User Defined Arcs to block ports and allow inverted arcs.
RTLvision PRO 5.8.3
This is a maintenance release, the following features were fixed and/or added:
-
Add support for custom attributes to the Liberty parser liberty2zdb.
-
Fix wrong mouse wheel scroll behavior in the Wave window.
-
Avoid the error "font "" doesn’t exist" in the Wave window.
-
New Operator API function chain -inv to replace chains of INV primitives by either one (odd number of INVs in the chain) INV or no INV (even number of INVs in the chain).
-
New database API function hiersep to set the hierarchy separator.
-
Fix history buttons in the Cone window.
-
Restore bookmarks in the Cone window from a binary database.
-
Clear list of bookmarks in the Schem and Cone window after the database has changed.
RTLvision PRO 5.8.2
This is a maintenance release, the following features were fixed and/or added:
-
Add support for "bundle" type to the Liberty parser liberty2zdb.
-
Sort the signals loaded to the Wave window using the "Get Signals from Cone" button.
-
No longer show the "Bus Dialog" if an autobundle would be loaded in the Cone window.
-
Add the option to the "Bus Dialog" of the Cone window to load multiple or all bus members.
-
Avoid error if a partly loaded autobundle is selected in the Cone window.
-
Do not show the Console window automatically in case of an user interrupt message.
RTLvision PRO 5.8.1
This is a maintenance release, the following features were fixed and/or added:
-
Do not show net names at the connected pin if the name if automatically generated by a parser.
-
The "lib2zdb" tool is no longer released with RTLvision PRO. Please use "liberty2zdb" instead.
RTLvision PRO 5.8.0
This is a major release, the following features were fixed and/or added:
-
New option to show the Console window in case of an error message.
-
Extend the GUI API and add Gui:GetConeNpages to get the number of schematic pages in the Cone window.
-
Support horizontal scrolling in the Wave window with mouse wheel and the shift key.
-
Support zooming in the Wave window with mouse wheel and the control key.
-
After opening a new VCD file keep the signals loaded in the Wave window if they still match the new VCD file.
-
Avoid wrong error "file too small" in the Wave window for large VCD files.
-
Do not show the "go up" stroke in the Schem window if the current module has no parent.
-
Unconnected hierarchical pins with a constant value can be hidden in the Cone window.
-
A binfile saved from the GUI now contains the displayed design title.
-
Avoid Tcl error while saving a Binfile but the schematic is not generated yet.
-
Read the value of the command line option "-workspace" even if a binary database is given by the "-binfile" option.
-
The result of the built-in ERC tools is now loaded to the Memory window.
RTLvision PRO 5.7.1
This is a maintenance release, the following features were fixed and/or added:
-
New API for User Defined Arcs in cone extraction.
-
Add the option to highlight all clock domains in different colors to the Clock Domain Analyzer window.
-
Add the new command line option -netlistPattern to specify a pattern which input files are Verilog netlists.
-
No longer strip all symbols from the zdb library needed for using the C-level API.
RTLvision PRO 5.7.0
This is a major release, the following features were fixed and/or added:
-
All parser can recognize gzipped file automagically. Therefore the "-gunzip" option becomes obsolete.
-
Add the option to guess instance arrays based on instance names to the Verilog parser.
-
Add the option to show net names at the connected pins.
-
Add the option to the "Export Netlist" feature to write a gzipped output file.
-
Add the option "-gzip" to the "$db write -tcl|-verilog|-spice" command to write a gzipped output file.
-
Avoid Error is the corresponding symlib of an exported netlist could not be generated, e.g. no cell has a function defined.
RTLvision PRO 5.6.2
This is a maintenance release, the following features were fixed and/or added:
-
Extend the GUI API and add Gui:GetParserArgs to get a list of command line arguments that can be used to start a parser directly, e.g. from an Userware script.
-
Clear the result list of the Search window after the database has changed.
-
Show a list of all loaded cell names in the advanced mode of the Search window.
-
Avoid memory segmentation of the internal memory on Windows to support loading larger files without an out of memory error.
-
In the delivered pre-compiled VHDL libraries (VDBs) the path to the source files is now relative.
-
Fix the visibility of the last line in the Source window.
-
Issue better error message when using a range with a non-array (verilog2zdb).
-
Better bus support for arrayed instances (verilog2zdb).
RTLvision PRO 5.6.1
This is a maintenance release, the following features were fixed and/or added:
-
Do not save the schematic cache at the module using the "@schematic" attribute if the number of instances and nets in the module exceeds the limit of 16 million objects.
-
Fix wrong port direction of operator output ports created by the "$db write -verilog" command.
-
Add the option to the "Export Netlist" feature to write a symbol library matching the cells in the created netlist.
-
Extent the $db symlib create command by the option to create symbols based on the primitive functions of the loaded database cells.
-
Create additional netbusses for interfaces bus nets of a module with a known function.
-
Add the option to guess buses to the Read Verilog dialog.
-
Enable the command line option -guessBus also or the verilog2zdb executable.
-
The values of Boolean command line options were not visible in the "Read" dialogs.
-
Fix "Goto Line" in the Source window.
-
The recreate hierarchy option created an invalid database.
-
Guess the input file type also for gzipped files.
-
No longer optimize the RTL schematic for "+" and "-" operation with constant values.
RTLvision PRO 5.6.0
This is a major release, the following features were fixed and/or added:
-
For windows a setup.exe is now available to install the software.
-
Fix the naming of portBus members at the hierarchical instances created by the "Add Hierarchy for Processes" (-procHier) option.
-
Fix a crash in the vhdl2vdb executable if a not existing or not readable file is given.
-
Add the command line option -argsFromFile to all *Vision tools to read all command line arguments from a file.
-
Avoid freezing the GUI on Windows if the Source window tries to add a hyperlink to a non existing include file.
-
Fix the operator $db oper rmhier for rare cases where an input port directly connects an output port.
-
Changed spos API command foreachrange -uniq option to handle large designs better.
RTLvision PRO 5.5.3
This is a maintenance release, the following features were fixed and/or added:
-
Fix for using same file name for reading a binlib and saving a binfile at the same time.
-
Add the option -argsFromFile to the *2zdb parser to read all command line arguments from a file.
-
Expand environment variables in the file specified with the option -argsFromFile.
-
Add the option -wait_for_license to wait the given amount of seconds for the next free license.
-
Limit the length of the displayed instance name in the Schem and Cone window to 32 characters.
-
Avoid Tcl error while displaying the tooltip for a NULL OID.
-
Fix missing cells in the list of trace through candidates.
-
Enhance trace through to ignore supply ports.
-
Add configuration options for the Tree window to the Preferences dialog.
-
Fix the "Get Signals from Cone" button in the Wave window.
-
Avoid errors in the cone extract dialog if the database changes while the dialog stays open.
-
Add support for string parameters in the Verilog netlist parser (verilog2zdb).
RTLvision PRO 5.5.2
This is a maintenance release, the following features were fixed and/or added:
-
A binfile saved from the GUI now contains the context of the Schem and Cone window.
-
Fix Y scrolling in the Source window if attributes are displayed.
-
Avoid Tcl error in the cone extract dialog if the extraction result list is empty.
-
Fix crash in the VCD reader (optimization for timesteps that are a multiple of 2^16 failed).
RTLvision PRO 5.5.1
This is a maintenance release, the following features were fixed and/or added:
-
Fix a crash in the "$db write -verilog" command.
-
Fix a crash in the "Save Cone as Verilog" function.
-
Extend the GUI API procedure Gui:GetSelection to get the selection of the Wave window.
-
Fix spos line entries for RTL files included multiple times.
-
Fix missing clock flags while creating compact RTL schematics.
RTLvision PRO 5.5.0
This is a major release, the following features were fixed and/or added:
-
Extend the GUI API and add Gui:ToggleGreymode to toggle the greymode in either the Schem or Cone window.
-
The autohide unconnected pins feature in the Cone window is now enabled per default.
-
Add a checkbutton to the toolbar of the Cone window to control the autohide unconnected pins feature.
-
Fix a performance issue of the RTL parser for non synthesizable RAM structures.
-
The RTL parser always instantiate a subtractor operator for a subtraction in the code.
-
The RTL parser always prevent bit-wise adder minimization for adders with (at least one) non-constant input.
-
Add support for the command line option -library to the rtl2zdb executable to compile input files into different libraries.
-
Remove the command line option "-indexsymlib" because symbol libraries no longer need this index.
-
Add hyperlinks in the Source window to directly jump to include files.
-
Avoid a Tcl error in the Wave window if "Trace back to X" is invoked from the list of loaded signals.
-
Extend the load API by -sposn, -pinsposn to store file names as is.
-
Add support for setting the spos fnamebase.
-
Fix a performance issue in the Tree window.
RTLvision PRO 5.4.6
This is a maintenance release, the following features were fixed and/or added:
-
Fix the selection of OIDs in the Source window for very long lines.
-
Enhance DSPF support. Instances in the "Instance Section" not necessarily need to start with "X".
-
Fix the GUI API function Gui:InsertCustomWidget: the given height was not always applied to the created frame.
-
Fix the GUI API function Gui:AttributeChanged: port attributes are not updated at hierPins in the Cone window.
-
Avoid an error in the Schem window if "Remove Hierarchy" is executed on a hierarchical instance with an object in the path that is instantiated multiple times.
-
Extend spos API command foreachrange by the option -sort which sorts result by increasing begin positions.
-
New configuration option to toggle the display of bus ranges at pinBus and portBus objects in the Schem and Cone window.
-
Fix display of constant values in the Schem and Cone window.
-
Add a warning message to the VHDL parser for missing generic values.
-
Attribute labels in the Source window now appear in the correct order.
RTLvision PRO 5.4.5
This is a maintenance release, the following features were fixed and/or added:
-
Remove the "Add" entry from the Bookmark context menu of the Cone window.
-
Extend the GUI API and add Gui:LoadModuleToCone to load a module including the contents to the Cone window.
-
Add "Export Netlist" to the Tools menu to export the loaded design either as a Spice or Verilog netlist.
-
Fix a crash in the "$db write -spice" command.
-
Fix bad Spice syntax generated by the "$db write -spice" command.
-
Fix wrong connectivity in the output file created by the "$db write -spice" command.
-
The commands "$db write -spice" and "$db write -verilog" no longer modify the database.
-
Avoid Tcl error in the Preferences dialog.
-
Extend the GUI API procedures WaveHighlightTime>>nd WaveClearHighlightTime>>nd add the option to specify a list of signals.
-
Enhance pre-processor error message of the Verilog netlist parser (verilog2zdb).
RTLvision PRO 5.4.4
This is a maintenance release, the following features were fixed and/or added:
-
The Verilog Netlist Parser (verilog2zdb) no longer creates duplicate portBus names if the same net connects to different pins of a blackbox.
-
Redraw the Wave window after the GUI API procedure Gui:WaveClearHighlightTime was called.
-
Speed-up the signal selection widget in the Wave window.
-
Fix a crash in the RTL parser.
-
Fix a crash in the Schem window while calculating the wiring.
RTLvision PRO 5.4.3
This is a maintenance release, the following features were fixed and/or added:
-
Fix renaming bookmarks in the Schem window.
-
Support persistent bookmarks (stored in the binfile) in the Schem and Cone window.
-
The RTL parser now add parameters as module attributes.
-
Module highlights are now also visible in the Tree window.
-
The GUI API function Gui:PersistChanged now updates the visibility of all windows that can be controlled by a Persist variable.
-
The GUI API function Gui:SetCurrentModule now accepts an empty OID to clear the Schem window and reset the Tree window.
-
The name of a given binfile is no longer saved to the workspace file.
-
The GUI API function Gui:Quit now accepts an optional return code as the exit status.
-
New Userware example (demo/api/Diff/diff.tcl) to show the differences of two revisions of the same netlist.
RTLvision PRO 5.4.2
This is a maintenance release, the following features were fixed and/or added:
-
Fix wrong syntax error reported by the SDF parser.
-
Filter duplicate error messages of the SDF parser.
-
Add the command line option -sdfTop to specify the optional name of the top level instance.
-
Fix drawing issue of a value change in the Wave window on the Windows platform.
-
Enhance the database command oid createFromString and add the option "-topInstName" to specify the name of the top level instance in the given path.
RTLvision PRO 5.4.1
This is a maintenance release, the following features were fixed and/or added:
-
In some cases no source code reference data was added for Verilog include files.
-
Fix the display order of netBus members in the Wave window.
-
Add the option to the Wave window to limit the time read from a VCD file.
-
Extend the popup menu of the Wave window by the "Goto Time" entry to jump to a specific time step.
-
Fix drawing issues in the Wave window.
-
The Verilog Netlist Parser (verilog2zdb) creates labels for constants showing the value.
-
Add the command line option -vcdTop again to specify the optional name of the design under test.
-
The Verilog Netlist Parser (verilog2zdb) now supports "X" and "Z" in constants.
-
New Verilog parser option to recreate hierarchy from flat instance names.
-
Enhance the Cone Extraction API and add the option "-diveEmpty" to dive into empty modules while running the Cone extraction.
-
Highlights are now also visible in the Wave window.
-
Fix the display of pinBus and portBus ranges in the Schem and Cone window.
-
Fix a MSB, LSB issue in displaying constant values in the Schem window.
-
Fix the display of constant values in the Cone window.
-
The database command "$db write -verilog" can now handle constant nets.
-
Fix wrong syntax created by the "$db write -spice" command.
-
The Verilog RTL parser no longer stops the elaboration if an external net (out of module reference) is detected. Now a warnings is shown and the rest of the module will be elaborated.
-
In the Schem window bookmarks can be added.
-
Add the possibility to rename Schem, Cone and Source bookmarks.
-
Extend the GUI API and add WaveHighlightTime>>nd WaveClearHighlightTime>>o highlight time ranges in the Wave window.
-
All device types optionally support additional input ports after the last required port.
-
Avoid long labels at bus rippers.
-
Fix the "Internal error - Pin with no up/down/left/right direction" while generating a multi page device schematic.
RTLvision PRO 5.4.0
This is a major release, the following features were fixed and/or added:
-
Add the option to create compact RTL schematics.
-
If a port or portBus OID is dropped to the Wave window then the waveform of the connected net or netBus is shown.
-
Remove the obsolete command line option -vcdTop.
-
Add a button to the Wave window to zoom fit.
-
Add the option to select signals from the opened VCD file without a loaded design.
-
Display signal names in the Wave window with the full path name.
-
The Clock Domain Analyzer window now shows the name of the clock source.
-
Extend the GUI API and add AddSourceBookmark>>nd DeleteSourceBookmark>>o add/remove bookmarks to the Source window.
-
Extend the GUI API and add NewWaveWindow>>nd HideWaveWindow>>o show/hide a new toplevel waveform window.
-
Add an optional argument to all Waveform window related GUI API procedures to work on one of the created toplevel windows.
-
Remove the database API net flag visit4.
-
Enhance the database net and netBus objects to support constant values.
-
Primitives with the function "X" and "Z" are no longer supported. The corresponding value can be added as a constant net value.
-
New database API command "$db foreach operator" and "$db foreach primInst" to loop over all operators and primitive instances in a module.
-
Show registers and operators for each module in the Tree window.
-
Enhance the operator $db oper bulk by the new option "nopg" to show only bulk pins not connected to a power or ground node regardless of the device function.
-
New sub command "remove" added to the $db symlib command.
-
Fix the database command "$db write -verilog": do not write out primitives, add `celldefine to the created module.
-
All cells with the "libcell" flag are treated as primitives.
-
The progress is now moving while reading many RTL files.
RTLvision PRO 5.3.10
This is a maintenance release, the following features were fixed and/or added:
-
The SDF parser no longer stops if a non fatal error occurs.
-
Start the SDF parser if a SDF file was specified on the command line.
-
The cone extraction treats each pin or port with an unknown direction (black box) as a bidirectional pin or port.
-
The check mark unicode character to indicate the current module in the Tree window could not be display on Windows XP.
-
Fix displaced line numbers in the Source window on Windows.
RTLvision PRO 5.3.9
This is a maintenance release, the following features were fixed and/or added:
-
Fix the drop of objects from the Source window to the Schem and Cone window.
-
A goto command on a blackbox instance now highlights this instance in the Source window using the goto color.
-
The database API command tdevice now accepts a primitive OID.
-
Add the possibility to specify devices as trace through cells.
RTLvision PRO 5.3.8
This is a maintenance release, the following features were fixed and/or added:
-
New zdb API example zdbDump.c that dumps the contents of a binary database as an ASCII text file.
-
Fix the database command $db write -verilog for primitives with buses.
-
Disabled page splitting is now also used by the Export EDIF, Export Skill and print design hierarchy tree functions.
-
Fix a problem with Copy & Paste to other X application on Unix platforms.
-
Fix the file completion in the Console window for cases were the entered text starts with the tilde character.
-
Fix the drop of module based OIDs to the Schem and Cone window.
-
Enhance Export EDIF optimized for Tanner (S-Edit): add support for complex overlay and bus connections.
RTLvision PRO 5.3.7
This is a maintenance release, the following features were fixed and/or added:
-
Fix endless loop in the PDF printer with very long labels in the Schem or Cone window.
-
New Operator API function reducePins to collapse pins that have the same net connected to multiple pins.
-
The highlight of the Clock Domain Analyzer window is now in sync with the rest of the GUI.
RTLvision PRO 5.3.6
This is a maintenance release, the following features were fixed and/or added:
-
Limit the length of the displayed cell name in the Schem and Cone window to 32 characters.
-
Enhance the Operator API function guessWide by the options "-equal", "-mux", "-prio", "-dlatch" and "-repeat" to control the guessed types.
-
Enhance the Operator API function createConst by the option "-bool" to remove AND/OR primitives with constant 0/1 at one input pin.
-
Avoid a Tcl error in the Source window on Windows if a new file is selected from the list of files.
-
The Read RTL dialog now remembers the last selected tab.
RTLvision PRO 5.3.5
This is a maintenance release, the following features were fixed and/or added:
-
The Liberty reader "liberty2zdb" no longer creates unknown port direction for missing direction.
-
A cone extraction from the context menu to top level I/O ports no longer stops at clocked cells.
-
Fix the database command $db write -verilog if a cell contains a port with an unknown direction.
-
New Operator API function removeInv to remove all INV instances if the input connects to a power or ground net.
-
New Operator API function mergeNetBus to merge two netBuses.
-
New Operator API function createConst to replace power ground nets with a "constant" net stub.
-
New Operator API function guessWide to combine multiple scalar primitives into one wide primitive.
-
New Operator API function chain -mux to replace chains of MUX/WIDE_MUX primitives by PRIO_SELECTOR/WIDE_PRIO_SELECTOR instances.
-
New Operator API function chain -bool to replace chains of boolean (OR/AND) primitives by reduced boolean (REDUCE_OR/REDUCE_AND) instances.
-
Guess the displayed ripper index from the subnet name.
-
The database command $db clone can now create a bitblasted clone of an object.
RTLvision PRO 5.3.4
This is a maintenance release, the following features were fixed and/or added:
-
Fix crash in Verilog parser if the creation of source code references is turned off.
-
Fix "jump to previous bookmark" in the Source window starting from a line behind the last bookmark.
-
The attribute display in the Source window could not be turned off.
-
The database command $db clone can now create a clone of a primitive object.
-
New Operator API function removeBuf to remove all BUF and WIDE_BUF instances and merge the connected nets.
RTLvision PRO 5.3.3
This is a maintenance release, the following features were fixed and/or added:
-
If an instance OID is dropped to the Wave window then the waveform of all nets connected to the instance are shown.
-
Fix startup error if the license feature gv-wave is available but cannot be checked out.
-
Signals loaded to the Wave window are displayed with the full path name.
-
Sub net index numbers are display at netBus ripper.
-
Add the option to show the members of a bus object in the tooltip.
-
Fix bus handling in Export EDIF optimized for Tanner (S-Edit).
RTLvision PRO 5.3.2
This is a maintenance release, the following features were fixed and/or added:
-
The database command $db write -verilog can now write primitives with buses.
-
Fix the direction of cone extraction to flip-flops and top level I/O ports in the Cone entry of the context menu.
-
New database API command "$db foreach clocked" to loop over all clocked cells in a module.
-
Use smaller icons in the action column of the Source window.
-
Use new icons in the Tree window to indicate the current module and that a module is instantiated multiple times.
RTLvision PRO 5.3.1
This is a maintenance release, the following features were fixed and/or added:
-
Avoid error if the mouse enters an OID with a next spos in the Source window.
-
Support pinBus and portBus OID type for the option to extract the cone to flip-flops and top level I/O ports in the "Cone" entry of the context menu.
-
Built-in symbol shape of a PRIO_SELECTOR changed.
RTLvision PRO 5.3.0
This is a major release, the following features were fixed and/or added:
-
The schematic page sizes are now labeled "S", "M", "L", "XL" and "XXL".
-
Increase the default page size of the schematic from "S" to "M".
-
Add the option to export hierarchical EDIF.
-
Add the option to export EDIF optimized for Tanner (S-Edit).
-
Add the option to disable page splitting in the Schem window.
-
Highlights are now also visible in the Tree window.
-
Add the option to extract the cone to flip-flops and top level I/O ports to the "Cone" entry of the context menu.
-
Highlighted instance objects in the Schem and Cone window now display a highlight background color.
-
The Verilog RTL parser now does a more relaxed language checking and report warnings for many non fatal errors.
-
The option "-minRamSize" is enabled per default with a value of 4096.
-
Avoid a Tcl error in the "Load Cone" function of the Navigate Signal dialog if a module based OID was selected.
-
Fixed guessPortBus and guessNetBus to produce buses containing only one member.
-
Do not update the Magnify window if the schematic is moved with the middle mouse button.
-
Update the Magnify window if the zoom factor of the schematic has changed.
-
Extend spos API by the new command maxcolumn to get the length of the longest line of the given file.
RTLvision PRO 5.2.4
This is a maintenance release, the following features were fixed and/or added:
-
New API function isTop to check if a module is a top module.
-
New API function spos add to add spos information to an OID.
-
Enhance the load net API command and add the option -pinspos to specify a source position for a pin.
-
Fix the "Fold Library Cells" option of the Clock Domain Analyzer window.
-
Avoid a Tcl error in the Source window if an object that contains special characters in its name is selected.
-
New checkbutton in Preferences dialog to disable pin permutation.
-
New command line option "-minRamSize" and new entry field to change the limit for the generation of multiport ram.
RTLvision PRO 5.2.3
This is a maintenance release, the following features were fixed and/or added:
-
Blackbox modules are displayed in the color defined for greymode in the Tree window.
-
The nodes in the Tree for quick access to ports, nets and primitive instances of each hierarchical module now support Drag & Drop.
-
Avoid error if Cone specific entries in the Tools menu are invoked.
-
On Windows a binary database can now be saved with the same name as the currently loaded binfile.
-
The Search window ignored the selected result type for the mode "Hier".
-
Extend the GUI API and add Gui:ConeFold, Gui:ConeUnfold and Gui:ConeIsFolded to set, remove and check the fold flag of modules in the Cone window.
RTLvision PRO 5.2.2
This is a maintenance release, the following features were fixed and/or added:
-
Fix startup error if license feature gv-wave is not available.
-
Allow multiple search paths for vhdl libraries with -vhdlLibPath.
RTLvision PRO 5.2.1
This is a maintenance release, the following features were fixed and/or added:
-
Extend the GUI API and add procedures to control the Wave window.
-
Remove the T/S (Tree & Search) and the All tab from the Visualizer. Now more individual views can be added from the Window menu.
-
The Display Pin Names setting was not working.
-
The database command $db write -tcl does not produce code to create the $db database anymore. This has to be done manually before sourcing the created file.
-
Fix Save Cone As … hierarchical netlist if a lot of objects are loaded to the Cone window.
-
Fix Save Cone As … flat netlist if two instances of the same module (that contains a netBus) are loaded to the Cone window.
-
Fix Save Cone As … if a netBus that contains a gap is loaded to the Cone window.
-
Fix environment variable support in a Verilog fileset.
RTLvision PRO 5.2.0
This is a major release, the following features were fixed and/or added:
-
Add support for reading VCD files and display a waveform.
-
Add support to display top/bottom ports in the Schem and Cone window.
-
Extend the Beautify menu by the entry "Position" to define the location of a port to top, bottom, left or right.
-
Add the database API port flags top, bottom, left and right to place a port at the specified location.
-
Enhance fullfit zoom in the Schem and Cone window. The new zoom-lock mode automatically adjusts the zoom factor whenever the window size changes.
-
Enhance the Tree to allow quick access to ports, nets and primitive instances of each hierarchical module.
-
Increase the performance of the Source window if there are a lot of objects at the same position (e.g. very wide buses).
-
Extend the GUI API functions Gui:Print and Gui:Log by the optional arguments "type", "filename" and "line".
-
Extend the GUI API function Gui:PrintError by the optional arguments "filename" and "line".
-
New GUI API function Gui:PrintWithCallback to add a custom binding to the text in the Console window.
-
Extend the database API command load inst: add the new option -orient to specify the orientation of the instance.
-
New database API command orient to get/set the orientation of an instance.
-
Extend the database API command flag: add new cell flag "feedthru" to mark all instances of the cell as a feedthru component (used in the Cone window).
-
New Operator API functions guessPortBus, guessNetBus and guessInstArray to guess buses.
-
Fixed Database API function oid createFromString for cases if the pin delimiter is specified and is the same character as the hierarchy separator.
-
New Magnify window to provide a detailed view of the schematic under the mouse.
-
Change the number of highlight colors to 14 and add 14 additional permanent highlight colors.
-
Extend the database API commands $db hilight and $db flathilight by the option "-permanent" to define permanent highlight colors.
RTLvision PRO 5.1.3
This is a maintenance release, the following features were fixed and/or added:
-
The API command $db flatattr propagateValue now overwrites an existing "value" attribute.
-
Avoid a Tcl error in the Cone window for a double click on pinBus objects with an ambiguous bus connection.
-
The statusbar will be cleared 20 seconds after the last message was displayed.
-
Avoid a Tcl error in the Cone window if a restored bookmark file is validated against the loaded database.
-
The report created by the "Save as Text" feature of the Clock Domain Analyzer window contained the wrong number of flip-flops.
-
Fix reading an EDIF file if the file extension is not "edif" or "edf".
-
Add capability to analyze SystemVerilog language subset 2005 only (by ignoring 2009 keywords).
-
Avoid an error for net segment objects displayed in the Infobox.
RTLvision PRO 5.1.2
This is a maintenance release, the following features were fixed and/or added:
-
If signal mode is turned on then a more operation in the Cone window will transparently go through hierarchy borders.
In this mode the newly loaded objects will be temporarily colored using the goto color. -
Enable Ctrl double-click in the Cone window on port objects to get a dialog to select the component to be loaded.
-
Fix syntax highlighting in the Source window for gzipped files.
-
Very long lines are display correct in the Source window while scrolling.
-
Start verilog elaboration at top modules.
-
The result of a clock domain search in the Clock Domain Analyzer window is now sorted by the total number of flip-flops.
-
Enhance the Cone Extraction API and add the option to stop at all power/ground nets.
-
Enhance the Cone Extraction dialog and add the option to stop at all power/ground nets.
RTLvision PRO 5.1.1
This is a maintenance release, the following features were fixed and/or added:
-
The option "Do not display hierarchy boxes in result" of a CDC search in the Clock Domain Analyzer window was not working correctly.
-
Enhance the primetime.tcl Userware to support entries spread over multiple lines.
-
Fix the primetime.tcl Userware: add the correct attribute name to the zdb.
-
A restored bookmark file can be validated against the loaded database.
RTLvision PRO 5.1.0
This is a major release, the following features were fixed and/or added:
-
Update the appearance of the GUI.
-
The Search window caches the last search strings and provides autocompletion for repeated queries. A selection menu provides fast access to the cached search queries.
-
Show the Visualizer selection in the Print, Save Schematic as Image, Export EDIF and Export Skill dialogs only if there is at least one additional Visualizer visible.
-
Combine the two dialogs Read Verilog and Read Edif into one single dialog window.
-
The option "Display FFs in columns" to display the result of a CDC search in the Clock Domain Analyzer window was not working correctly.
-
Fix merging design files with a binary library that contains blackbox cells.
-
The configuration options from the "Visu" tab of the Preferences dialog moved to the "Misc" Misc tab.
-
Comments can be added to all objects.
-
Add the possibility to specify trace through cells for incremental navigation in the Cone window.
-
Enhance the flat foreach pin loop by the option -addHier to process hierarchical pins and ports.
-
Add support to drop objects on a tab of the Visualizer.
-
Add more shapes to the graphical marks.
-
New GUI API function Gui:DoubleClick to register a customer specific double click binding.
-
The behavior of the GUI API function Gui:RegisterDataBaseChanged has changed. Now the registered procedure is called before the schematic is rendered. If the registered Userware procedure needs to access the Schem window then the GUI API procedure Gui:SetCurrentModule needs to be called before.
-
Swap the key binding for the page navigation in the Schem and Cone window. The "PgUp" key jumps to the next schematic page and the "PgDn" key to the previous.
-
The pane tab elements divided by splitters are no longer covered while moving the splitters.
-
Fix the command and file completion in the Console window for cases were a file or directory with the name of a matched command exists.
-
Fix the command and file completion in the Console window on Windows for cases were a the entered text contains illegal characters for a file or directory.
-
The RTL parser no longer renames long cell names (e.g. parameterized cells).
-
Verilog and VHDL parser use ReadPort/WritePort operators and special RamNet to represent multi-port RAM behavior for smaller netlists.
-
Blackbox instances are displayed in the color defined for greymode in the Schem, Cone and Source window.
-
Support horizontal scrolling in Schem, Cone and Minimap window with mouse wheel and the shift key.
-
Fix the GUI API procedure Gui:CustomizePopup: the wrong popup menu path was added to the callback.
-
Increase default value for the "Big Module Limit" to 45000 objects.
RTLvision PRO 5.0.0
This is a major release, the following features were fixed and/or added:
-
Add an action column to the Source window for easier navigation.
-
Enhance the Source window and add the possibility to display pin attributes.
-
Add support to read gzipped Verilog and VHDL files.
-
Added vhdl2000 and vhdl2008 dialect support.
-
Add highlight information to the bookmark file created with the context menu entry "Bookmark->Save As".
-
Improve the search in file function of the Source window: a search starts from the currently displayed line, add the possibility to search backward and allow the search across multiple files.
-
Extend spos API by the new command mtime to get the modification time of the given file.
-
Add the option to load all nets hidden in the Big Module Limit dialog.
-
Add the option to save the contents of the Report Instance Count dialog to a text file.
-
Extend the Save Cone As Verilog dialog to create a Verilog netlist using named connectivity.
-
Enhance the database command $db write and add the option to save the contents of the database as a Verilog netlist using named connectivity.
-
Enhance the GUI API function Gui:SaveConeAs Verilog using named connectivity.
RTLvision PRO 4.7.4
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 4.7.3
This is a maintenance release, the following features were fixed and/or added:
-
The "liberty2zdb" executable now suppresses duplicate messages about unhandled group types.
-
Speedup the Liberty reader "liberty2zdb" and reduce the peak memory usage.
-
The option "-boolSym" of the Liberty reader "liberty2zdb" now accepts on/off values.
-
The "oid createFromString" function can now create port, portBus and netBus OID types.
-
Fix return code handling in flat foreach loops.
-
New Userware example printPDF.tcl to print a schematic as a PDF file.
RTLvision PRO 4.7.2
This is a maintenance release, the following features were fixed and/or added:
-
The "liberty2zdb" executable now uses the port direction named in the Liberty file for the top/bottom power and ground pins of the created symbol.
-
The "liberty2zdb" executable could not create a symbol from a Liberty cell with one or more "pg_pin" with an output direction.
-
New Userware example saveHilight.tcl to save all currently set highlights into a file.
-
Avoid errors for rare cases were NULL OIDs can be selected in the GUI.
RTLvision PRO 4.7.1
This is a maintenance release, the following features were fixed and/or added:
-
Stop displaying line numbers in the Source window if the end of the file has been reached.
-
Fix crash in "flatattr delete" if there are flags set at netsegments.
-
On Windows the Source window used a different line spacing for displaying normal text and comments. This resulted in shifted line numbers.
-
On Windows the scrollbar of the Source window did not adjust to the cached scroll position when navigating through the design files.
-
Navigate to the previous or next spos in the Source window did not highlight the line containing the object.
-
In the Source window the colors of marked keywords are now visible through the selection.
-
Avoid Tcl Error if "Signal Mode" is turned on and "Navigate Signal" on a module based OID is selected from the Source window.
RTLvision PRO 4.7.0
This is a major release, the following features were fixed and/or added:
-
Enhance the database to store source positions for pin objects.
-
The RTL parser always creates spos data for the Source window.
-
The RTL parser creates source positions for pins.
-
The Verilog parser creates source positions for pins.
-
The Edif parser creates source positions for pins.
-
The Edif parser no longer stops creating pin connections of a net if one connection could not be completed.
-
Fix the displayed result of a CDC search in the Clock Domain Analyzer window.
-
Fix crash in spos database for cases where Verilog library files are read multiple times.
-
Add more options to navigate through a design in the Source window.
-
Fix the file completion in the Console window if a directory or a file name contains a blank.
-
Added object type as priority for "spos pick" (bus type objects have a higher priority).
-
Allow "end" for spos lineno.
-
Scrolling in the Source window is smoother, the scrollbar is no longer wobbling.
-
Significantly increase the performance of the Source window if the syntax highlighting feature is enabled.
RTLvision PRO 4.6.5
This is a maintenance release, the following features were fixed and/or added:
-
Display all possible matches of the command and file completion in the Console window.
RTLvision PRO 4.6.4
This is a maintenance release, the following features were fixed and/or added:
-
The Liberty parser "liberty2zdb" now adds top and bottom ports to the symbol for the power and ground pins of a recognized function.
-
Significantly increase the performance of the Source window if the syntax highlighting feature is enabled.
-
Fix the "Show Frame" option in the Print dialog.
-
Simplify the Print dialog, reduce the number of options.
RTLvision PRO 4.6.3
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 4.6.2
This is a maintenance release, the following features were fixed and/or added:
-
Distribute all possible matches shown by the command and file completion in the Console window to multiple output lines.
-
Fix flat foreach instOfCell: continue traversing the hierarchy below a flagged cell.
RTLvision PRO 4.6.1
This is a maintenance release, the following features were fixed and/or added:
-
New GUI API function Gui:Log to print a log message to the log file.
-
Add all commands typed and executed in the Console window to the log file.
-
Fix command and file completion in the Console window if the partly entered command or file starts with a dash character.
-
Fix command and file completion in the Console window if a custom prompt is not ending with a blank (the blank is added automatically).
-
Enable syntax highlighting of Verilog keywords in the Source window for files with the extension ".vlib", ".sv" and ".h".
-
Elaborate verilog before VHDL in mixed language designs.
RTLvision PRO 4.6.0
This is a major release, the following features were fixed and/or added:
-
Add command and file completion in the Console window using the Tab key.
-
The displayed prompt in the Console window can be customized using the Persist(prompt) variable.
-
Add support to format attributes using a custom foreground and background color.
-
Enable support for variable slices in the VHDL parser.
-
Fix the displayed number of flip-flops belonging to a clock domain in the Clock Domain Analyzer window.
-
Enhance path extraction: if the value of the -pathLimit option is 0 then up to 65535 paths are returned.
-
Update Liberty parser "liberty2zdb" to support the latest Liberty format enhancements.
-
A displayed instance attributes no longer overwrites the cell name in the schematic.
-
Fix "get clipboard" function used by all paste operations.
RTLvision PRO 4.5.2
This is a maintenance release, the following features were fixed and/or added:
-
Fix issues in highlighting keywords and comments in the Source window and beautify the displayed text.
RTLvision PRO 4.5.1
This is a maintenance release, the following features were fixed and/or added:
-
Improve Verilog Parser error messages for syntax errors.
-
Extend the Edif parser: if a cell specifies a userData property with the name primfunc then the value is used to specify the primitive function of this cell.
-
Expand environment variables and home directories in symlib file names.
RTLvision PRO 4.5.0
This is a major release, the following features were fixed and/or added:
-
Extend the database command $db write and add the option to save the contents of the database as a Verilog netlist.
-
Add more common keyboard shortcuts.
-
Bind a mouse double click in the Schem window to hide/unhide nets.
-
The workspace file now stores the size and the position of the application window.
-
Avoid Tcl error in the Source window function search in file if the displayed file was changed while performing the search.
-
Extend the Print dialog by the check button "Show Frame" to control the visibility of the page frame.
-
Extend GUI API command Gui:AppendPopup by the optional argument "menuname" to specify the name of the cascade menu.
-
Select multiple Pins/Ports using the NW mouse stroke now also includes portBus and pinBus objects.
-
Add new option "-sdbl" to the rtl2zdb executable to restore a Verific binary database (sdbl).
-
New executable ndl2zdb that translates NDL netlists into a binary zdb database.
-
The "Beautify->Select Symbol" dialog can now handle black box instantiations with unknown port directions.
-
Change behavior of "Open Editor" in the Source window: if there is either an object marked by the Goto function or a line marked by "Goto Line" then this information is used as the start line passed to the editor.
RTLvision PRO 4.4.2
This is a maintenance release, the following features were fixed and/or added:
-
Fix potential crash in liberty2zdb.
RTLvision PRO 4.4.1
This is a maintenance release, the following features were fixed and/or added:
-
Add new graphical marks to the Meta Attributes documentation.
-
Extend the primetime.tcl and pathmill.tcl Userware example to display multiple values in the Schem and Cone window at a time.
-
New GUI API function Gui:DataBaseModified to update the GUI after modifying the currently loaded database.
RTLvision PRO 4.4.0
This is a major release, the following features were fixed and/or added:
-
Fix flat foreach signal (in spice examples with empty sub-circuits, nets may have been skipped).
-
Fix sym2zdb: if no binlib is specified then create cells with symbol shapes for all symbols in the given symlib files else only add symbol shapes to existing cells in the binlib.
-
Add the options -ignorePragmas and -ignoreTranslate to RTLvision PRO and the executables rtl2zdb and vhdl2vdb to either ignore all pragmas or to ignore only synthesis pragmas.
-
Matching symlib file entries with symbol-ports that don’t match by name now issue a warning.
-
Symlib file may define a mapping to built-in symbol shapes. This mapping may additionally define certain ports as hidden, e.g. "symbol NDX3 * NAND port vdd input.hidden" will display cell NDX3 with a NAND shape and will hide the cell port "vdd" (internally, the port’s hide flag is set).
RTLvision PRO 4.3.2
This is a maintenance release, the following features were fixed and/or added:
-
Fix Tcl error while updating the Schem window after the database was modified.
-
Extend the database API command $db search by the option -icase to search for objects in a case insensitive manner.
-
New Userware example removeBuf.tcl to remove all buffer elements and merge the nets connected to the buffer.
-
Add the possibility to display a dotted grid in the Schem and Cone window.
-
Add the option to turn off the visibility of the hierarchy boxes in the displayed result of the Clock Domain Analyzer.
-
Now an operator (module with a known bus-level function) is treated as a primitive (this behavior can be changed in the Preferences dialog).
RTLvision PRO 4.3.1
This is a maintenance release, the following features were fixed and/or added:
-
Changed VHDL -procHier handling to use process label names instead of "PROCESS_<d>".
-
Added source info to hierarchical instances created by -procHier option.
RTLvision PRO 4.3.0
This is a major release, the following features were fixed and/or added:
-
New API command $db setPrimitive to specify hierarchical cells as primitives.
-
Extend the Preferences dialog to specify hierarchical cells as primitives.
-
Dropping objects to the Schem and Cone window will set the input focus to the window under the mouse.
-
New API command $db flatattr propagateValue to propagate net values to the connect pins.
-
New API command $db isOneToOneConnection to check if all subnets of a netBus are connected to a pinBus or portBus.
-
New API command $db oid print to print the string representation of an OID.
-
Attributes named "value" are automatically displayed at pin and port objects.
-
New workspace file that defines a Virtuoso like color scheme for the Schematic.
-
Fix performance issue of Source window for very long lines containing a lot of OIDs.
-
Fix performance issue of Source window if the displayed part of the file contains a lot of OIDs.
-
Extend spos API command foreachrange by the option -uniq to suppresses oids which have the same type and lie on the same begin and end position as previous OIDs.
RTLvision PRO 4.2.2
This is a maintenance release, the following features were fixed and/or added:
-
Ignore case of attribute names for attribute values displayed in the Schem window.
-
Avoid error in the set "Current Module" function in the context menu of the Tree window.
-
New API command $db flatattr deleteAll removes all flat occurrences of a given attribute.
-
Unhighlight a specific color removed all highlight infos from the Clock Domain Analyzer window.
-
Custom power/ground symbol shapes with wrong graphical pin direction now create a warning instead of an error.
-
Bugfix EDIF export: built-in as well as user-defined port symbols are exported as GRAPHIC cells (the EDIF standard requires this in cases where the ports are issued as portImplementation constructs).
RTLvision PRO 4.2.1
RTLvision PRO 4.2.0
This is a major release, the following features were fixed and/or added:
-
Remove the predefined color schemes light, dark and bisque. Now there is only one "default" color scheme where the color values can still be changed using the Colors tab of the Preferences dialog.
-
The default color scheme now defines 19 different highlight colors.
-
The workspace file demo/api/printableColors.ws defines a printer friendly color set.
-
The Verilog Netlist Parser (verilog2zdb) now reports an error when it detects an recursive instantiation.
-
Bugfix M280: the -define (and \+define) options for the Verilog Netlist Parser (verilog2zdb) now has priority over the `define in the Verilog files.
-
Upgrade FlexNet license mechanism to FlexNet-11.6 for the following platforms: linux23, linux23.x86_64, sun10.x86_64 and win32. All other platforms still use FlexNet-10.8.
-
New "Optimize Wiring" option in the context menu of the Schem and Cone window to re-compute the net routing.
-
Bugfix M055: The API function rmhier (if called without -hiersep) now automatically tries up to 9 different prefixes to avoid name clashes before it gives up.
-
Add the option to turn off the visibility of hierarchy boxes in the Cone window.
-
Simplify the Search window.
-
Fix Control-Double-Click in the Cone window. Now the Bus Dialog is always displayed.
-
Autohide unconnected pins in the Cone window will not hide pins at instances with less or equal 8 pins. Toggle autohide can still hide the unconnected pins.
RTLvision PRO 4.1.0
This is a major release, the following features were fixed and/or added:
-
Add new Clock Domain Analyzer Tutorial.
-
Filter database cells in the Clocked Cells dialog: show only primitives and empty modules.
-
The liberty2zdb executable automatically recognize clocked cells.
-
Net name labels use transparent background to avoid visual outages at wires.
-
New API command $db spos addfile to create a new file entry in the spos database.
-
Add a "Dialog" command to the "Beautify->Select Symbol" menu. The Symlib dialog assists the user to select symbols for unknown cells and specify port assignments.
-
Add selection of builtin symbol shapes to the "Beautify->Select Symbol" menu for UNKNOWN primitives.
-
New feature Nethide to hide all nets in the Schem window.
-
New Userware example annotation.tcl to add comments to objects and show/print a report.
-
New zdb API example createZdb.c demonstrates how to create and fill a binary database using the C-level API.
RTLvision PRO 4.0.6
This is a maintenance release, the following features were fixed and/or added:
-
Add file extension ".edn" to the open EDIF file dialog.
-
Add support for built-in symbol shapes in symlib2zdb and zsymlib command.
-
Avoid highlight error if a hierPin contained highlight information.
RTLvision PRO 4.0.5
This is a maintenance release, the following features were fixed and/or added:
-
Fix GUI API function Gui:HighlightChanged: Source window was not updated after the highlight has been deleted.
RTLvision PRO 4.0.4
This is a maintenance release, the following features were fixed and/or added:
-
Extend GUI API function Gui:Goto to select a target Window.
-
Fixed win32 filename problem in the VHDL Library Compiler.
RTLvision PRO 4.0.3
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 4.0.2
This is a maintenance release, the following features were fixed and/or added:
-
Fix zdb2sym: also write symbols from cell attribute @symbol.
-
New GUI API function Gui:WriteDspf to Write the displayed RC network as a Spice netlist.
RTLvision PRO 4.0.1
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 4.0.0
This is a major release, the following features were fixed and/or added:
-
New Minimap to provide an overview of the displayed schematic.
-
New database command $db tools createHier to recreate hierarchy from flat instance names.
-
Speedup (approx. 2X) for the -gunzip option at the Verilog Netlist Parser (verilog2zdb). The progress bar calculation with -gunzip fixed.
-
Changed scaling in cadence2symlib.il and skill export, to get better on grid placement.
-
For convenience there are new scripts in the package root which determine the platform dependent application path automatically.
RTLvision PRO 3.4.3
This is a maintenance release, the following features were fixed and/or added:
-
New GUI API function Gui:HighlightSourceLine to highlight an arbitrary line in the Source window.
RTLvision PRO 3.4.2
This is a maintenance release, the following features were fixed and/or added:
-
Fix: crash in nested filesets.
-
Fix error in Print dialog for print views "Full page" and "All Pages".
-
While selecting multiple objects with a rectangle the direction controls the selected object type.
RTLvision PRO 3.4.1
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 3.4.0
This is a major release, the following features were fixed and/or added:
-
New database command $db oid resetAllOIDs to reset the string representation of all Tcl variables referring to OIDs.
-
The Gui:Cd command is gone. The Tcl builtin "cd" should be used now.
-
API extended by the search command.
-
Search window limits the number of selected objects to 10,000.
-
Search window’s "Stop" button (interrupt) now accepts a button press at all times.
-
Drag & Drop now waits 300 milliseconds before it switches the Tabs also on Windows (if the cursor stays on a Tab field).
-
Reduce flicker in tooltips.
-
Move exportsymlib.il to package Symutils as cadence2symlib.il.
-
Fix broken tooltips.
-
The files in a Verilog fileset are relative to the current working directory.
-
The Read Verilog dialog displays the fileset as one list similar to the Read RTL dialog.
-
Enable SDF support for RTLvision PRO.
-
New appearance of the Clock Domain Analyzer window for better usability.
-
Binfile is incompatible to previous releases.
-
New Rotate submenu in the Beautify menu of the Schem and Cone window to rotate and mirror instances.
-
Enable dragging of instances in the Schem and Cone window.
-
Introduce Signal Mode in the Schem and Cone window for fast access to signal OIDs.
RTLvision PRO 3.3.3
This is a maintenance release, the following features were fixed and/or added:
-
Invalid objects can be dropped to the Memory window.
-
Display bus width for pinBus, portBus and netBus objects in tooltips, Memory window and Last Selection label.
RTLvision PRO 3.3.2
This is a maintenance release, the following features were fixed and/or added:
-
Fix broken table layout in built in html browser.
-
Fix broken tooltips on the Windows Platform.
RTLvision PRO 3.3.1
This is a maintenance release, the following features were fixed and/or added:
-
Verilog gate-level parser supports multiple instances of an UNDEFINED module (black box) with different named-port references (different interface).
RTLvision PRO 3.3.0
This is a major release, the following features were fixed and/or added:
-
Simplify the Read Edif dialog.
-
If a gzipped file is loaded to the "Read Edif" or "Read Verilog" dialog then the option "Read gzipped Edif" respectively "Read gzipped Verilog" is turned on automatically.
-
Bugfix: dropping objects from Source window to Cone window always failed.
-
The number of available encodings no longer depends on a local Tcl installation. Now all encodings from a standard Tcl installation are packed into the tool.
RTLvision PRO 3.2.3
RTLvision PRO 3.2.2
This is a maintenance release, the following features were fixed and/or added:
-
Tooltips now disappear after max. 7 seconds.
-
Verilog Netlist Parser (verilog2zdb) now silently ignores the "generate" and "genvar" keywords (Verilog 2001).
-
EDIF: written section don’t require a timeStamp.
-
Bugfix: Remove newline in error message. Change warning for redefined modules into two messages to display both file locations.
RTLvision PRO 3.2.1
This is a maintenance release, the following features were fixed and/or added:
-
Bugfix: Verilog Netlist Parser (verilog2zdb) now handles comments, quotes, etc in escaped identifiers correctly (escaped identifiers start with a backslash). Also the error messages are improved to locate the start of an unterminated comment. Unterminated quoted strings are reported at end-of-line.
RTLvision PRO 3.2.0
This is a major release, the following features were fixed and/or added:
-
Add support to read gzipped Verilog files.
-
Verilog parser reports meaningful error message for unterminated strings and comments.
-
The contents of the Schem and Cone window can be saved as gif image.
-
New userware example deleteBuses.tcl to delete all netBuses from a database.
-
New userware example dumpIO.tcl to dump all I/O pins of all modules to a text file.
-
New userware example readBookmark.tcl to restore a bookmark file specified on the command line.
RTLvision PRO 3.1.2
RTLvision PRO 3.1.1
This is a maintenance release, the following features were fixed and/or added:
-
The Verilog parser produces more debug output if "-info debug" is specified.
RTLvision PRO 3.1.0
This is a major release, the following features were fixed and/or added:
-
New userware example floatingGate.tcl to check if there are floating gate pins at transistors.
-
New database command $db oper changecellref to change the referenced cell of an instance.
-
New database command $db oper rename to change object names.
-
The list of SDF files moved from the Verilog dialog to its own dialog accessible from the toolbar and main menu.
-
sdf: support hierarchical paths in INTERCONNECT.
-
The Cone tab of the Cone Extraction dialog accepts instances as start point.
-
Add an example in (demo/api/zdb) how to use the C-Level API provided as a separate package zdb_api-<version>.tgz.
-
Add a highlight color menu to the toolbar for fast access to all possible highlight colors.
-
New database command $db identicalInterface to compare if the interface of two given Cells is identical.
-
The Clear command of the Search window also clear the search string.
-
Add support to drag objects, tooltips and a context menu and to the Clock Domain Analyzer window.
-
Add support to save the Clock Domain Analyzer results as a text file, respectively postscript.
-
In the rare case that all clock pins are undriven, the Clock Domain Analyzer hung in an endless loop.
-
symlibexport.il: better handling for special Tcl characters like newline and curly braces.
RTLvision PRO 3.0.6
This is a maintenance release, the following features were fixed and/or added:
-
Verilog preprocessor no longer checkout an extra gv-gate2zdb license on Windows.
-
SDF reader: fixed portdirupdate for INTERCONNECT ports.
-
New database command $db write to save the contents of a database as Tcl "$db load" commands.
-
Remove API example demo/api/oem/savedb.tcl
RTLvision PRO 3.0.5
This is a maintenance release, the following features were fixed and/or added:
-
SDF reader: several changes to improve stability and fix crashes.
RTLvision PRO 3.0.4
This is a maintenance release, the following features were fixed and/or added:
RTLvision PRO 3.0.3
This is a maintenance release, the following features were fixed and/or added:
-
Error messages in the Console window are mouse sensitive. A click on a message jumps to the corresponding file and line.
-
New GUI API function Gui:RemoveRegisteredDataBaseChanged.
-
Replace the "Clear Schematic Cache" button in the Preferences dialog by a "Regenerate" button with the same effect.
-
API extended: (1) the flag clear command without argument clears all flags; (2) new report netCount command; (3) new widthOf command; (4) new foreach oPort and foreach oPin to loop over ports and portBuses in Verilog declaration order; (5) new libcell flag; (6) new foreach cell to loop over modules and primitives; (7) shorter command name primFuncOf.
RTLvision PRO 3.0.2
This is a maintenance release, the following features were fixed and/or added:
-
Speedup and less consumption of temp memory on Cone Extraction and Clock Domain Analyzer.
-
Add progress bar and Interrupt button to Cone Extraction and Clock Domain Analyzer dialog windows.
-
New command line option -info to specify the info level of the Rtl, Verilog and Edif parser.
-
New command line option -sdf to specify a SDF file for a Verilog design.
RTLvision PRO 3.0.1
This is a maintenance release, the following features were fixed and/or added:
-
Command line options simplified: RTLvision PRO option -verilog2001 is default (no need to specify it); GateVision option -verilog is default (no need to specify it).
-
Verilog Netlist Parser (verilog2zdb) now supports some 2001 features, including (a) module header with inline port and parameter declaration, (b) the `elsif directive, (c) extra net-type information ("wire", "reg", etc.) in the input/output port declaration (d) extended grammar to gracefully ignore unsupported RTL-level elements.
-
Speedup Clock Domain Analyzer.
-
Clock Domain Analyzer displayed wrong highlight colors.
-
Improve Progress Bar: in most cases, don’t jump back to 0 if multiple actions are processed in series.
-
Improve printing: In the GUI dialog you can select Landscape or Portrait as orientation. Add two new print views: Fullfit and Visible.
RTLvision PRO 3.0.0
This is a major release, the following features were fixed and/or added:
-
First Final Release of RTLvision PRO.